Microprocessor

Source: Wikipedia, the free encyclopedia.
(Redirected from
Microprocessors
)

Texas Instruments TMS1000
Intel 4004
Motorola 6800 (MC6800)
A modern 64 bit x86-64 processor (AMD Ryzen 5 2600, based on Zen+, 2018)
AMD Ryzen 7 1800X (2017, based on Zen) processor in a AM4 socket on a motherboard

A microprocessor is a

instructions stored in its memory, and provides results (also in binary form) as output. Microprocessors contain both combinational logic and sequential digital logic, and operate on numbers and symbols represented in the binary number
system.

The integration of a whole CPU onto a single or a few integrated circuits using

Rock's law
.

Before microprocessors, small computers had been built using racks of

large-scale ICs. While there is disagreement over who deserves credit for the invention of the microprocessor, the first commercially available microprocessor was the Intel 4004, designed by Federico Faggin and introduced in 1971.[2]

Continued increases in microprocessor capacity have since rendered other forms of computers almost completely obsolete (see

.

A microprocessor is distinct from a microcontroller including a system on a chip.[3][4]A microprocessor is related but distinct from a digital signal processor.[clarification needed]

Structure

A block diagram of the architecture of the Z80 microprocessor, showing the arithmetic and logic section, register file, control logic section, and buffers to external address and data lines

The complexity of an integrated circuit is bounded by physical limitations on the number of

transistors that can be put onto one chip, the number of package terminations that can connect the processor to other parts of the system, the number of interconnections it is possible to make on the chip, and the heat that the chip can dissipate
. Advancing technology makes more complex and powerful chips feasible to manufacture.

A minimal hypothetical microprocessor might include only an

operation code
might affect many individual data paths, registers, and other elements of the processor.

As integrated circuit technology advanced, it was feasible to manufacture more and more complex processors on a single chip. The size of data objects became larger; allowing more transistors on a chip allowed word sizes to increase from 4- and 8-bit words up to today's 64-bit words. Additional features were added to the processor architecture; more on-chip registers sped up programs, and complex instructions could be used to make more compact programs. Floating-point arithmetic, for example, was often not available on 8-bit microprocessors, but had to be carried out in software. Integration of the floating-point unit, first as a separate integrated circuit and then as part of the same microprocessor chip, sped up floating-point calculations.

Occasionally, physical limitations of integrated circuits made such practices as a bit slice approach necessary. Instead of processing all of a long word on one integrated circuit, multiple circuits in parallel processed subsets of each word. While this required extra logic to handle, for example, carry and overflow within each slice, the result was a system that could handle, for example, 32-bit words using integrated circuits with a capacity for only four bits each.

The ability to put large numbers of transistors on one chip makes it feasible to integrate memory on the same die as the processor. This CPU cache has the advantage of faster access than off-chip memory and increases the processing speed of the system for many applications. Processor clock frequency has increased more rapidly than external memory speed, so cache memory is necessary if the processor is not to be delayed by slower external memory.

The design of some processors has become complicated enough to be difficult to fully

test, and this has caused problems at large cloud providers.[5]

Special-purpose designs

A microprocessor is a general - purpose entity. Several specialized processing devices have followed:

Speed and power considerations

Intel Core i9-9900K (2018, based on Coffee Lake)

Microprocessors can be selected for differing applications based on their word size, which is a measure of their complexity. Longer word sizes allow each clock cycle of a processor to carry out more computation, but correspond to physically larger integrated circuit dies with higher standby and operating power consumption.[6] 4-, 8- or 12-bit processors are widely integrated into microcontrollers operating embedded systems. Where a system is expected to handle larger volumes of data or require a more flexible user interface, 16-, 32- or 64-bit processors are used. An 8- or 16-bit processor may be selected over a 32-bit processor for system on a chip or microcontroller applications that require extremely low-power electronics, or are part of a mixed-signal integrated circuit with noise-sensitive on-chip analog electronics such as high-resolution analog to digital converters, or both. Some people say that running 32-bit arithmetic on an 8-bit chip could end up using more power, as the chip must execute software with multiple instructions.[7] However, others say that modern 8-bit chips are always more power-efficient than 32-bit chips when running equivalent software routines.[8]

Embedded applications

Thousands of items that were traditionally not computer-related include microprocessors. These include household

HDTV
broadcast systems fundamentally require consumer devices with powerful, low-cost, microprocessors. Increasingly stringent pollution control standards effectively require automobile manufacturers to use microprocessor engine management systems to allow optimal control of emissions over the widely varying operating conditions of an automobile. Non-programmable controls would require bulky, or costly implementation to achieve the results possible with a microprocessor.

A microprocessor control program (embedded software) can be tailored to fit the needs of a product line, allowing upgrades in performance with minimal redesign of the product. Unique features can be implemented in product line's various models at negligible production cost.

Microprocessor control of a system can provide control strategies that would be impractical to implement using electromechanical controls or purpose-built electronic controls. For example, an internal combustion engine's control system can adjust ignition timing based on engine speed, load, temperature, and any observed tendency for knocking—allowing the engine to operate on a range of fuel grades.

History

The advent of low-cost

ROM.[9] Wilkes is also credited with the idea of symbolic labels, macros and subroutine libraries.[10]

Following the development of

computer processor could be contained on several MOS LSI chips.[11] Designers in the late 1960s were striving to integrate the central processing unit
(CPU) functions of a computer onto a handful of MOS LSI chips, called microprocessor unit (MPU) chipsets.

While there is disagreement over who invented the microprocessor,

silicon-gate technology (SGT).[14] The earliest MOS transistors had aluminium metal gates, which Italian physicist Federico Faggin replaced with silicon self-aligned gates to develop the first silicon-gate MOS chip at Fairchild Semiconductor in 1968.[14] Faggin later joined Intel and used his silicon-gate MOS technology to develop the 4004, along with Marcian Hoff, Stanley Mazor and Masatoshi Shima in 1971.[15] The 4004 was designed for Busicom, which had earlier proposed a multi-chip design in 1969, before Faggin's team at Intel changed it into a new single-chip design. Intel introduced the first commercial microprocessor, the 4-bit Intel 4004, in 1971. It was soon followed by the 8-bit microprocessor Intel 8008 in 1972. The MP944 chipset used in the F-14 Central Air Data Computer
in 1970 has also been cited as an early microprocessor, but was not known to the public until declassified in 1998.

Other

printers, various kinds of automation etc., followed soon after. Affordable 8-bit microprocessors with 16-bit addressing also led to the first general-purpose microcomputers
from the mid-1970s on.

The first use of the term "microprocessor" is attributed to Viatron Computer Systems[16] describing the custom integrated circuit used in their System 21 small computer system announced in 1968.

Since the early 1970s, the increase in capacity of microprocessors has followed

obsolete source] and as a result Moore later changed the period to two years.[18]

First projects

These projects delivered a microprocessor at about the same time:

Four-Phase Systems AL1
microprocessor was also delivered in 1969.

Four-Phase Systems AL1 (1969)

The

bit slice chip containing eight registers and an ALU.[19] It was designed by Lee Boysel in 1969.[20][21][22] At the time, it formed part of a nine-chip, 24-bit CPU with three AL1s. It was later called a microprocessor when, in response to 1990s litigation by Texas Instruments, Boysel constructed a demonstration system where a single AL1 formed part of a courtroom demonstration computer system, together with RAM, ROM, and an input-output device.[23]

Garrett AiResearch CADC (1970)

In 1968,

MP944 chipset, are well known. Ray Holt's autobiographical story of this design and development is presented in the book: The Accidental Engineer.[24][25]

Ray Holt graduated from California State Polytechnic University, Pomona in 1968, and began his computer design career with the CADC.[26] From its inception, it was shrouded in secrecy until 1998 when at Holt's request, the US Navy allowed the documents into the public domain. Holt has claimed that no one has compared this microprocessor with those that came later.[27] According to Parab et al. (2007),

The scientific papers and literature published around 1971 reveal that the MP944 digital processor used for the F-14 Tomcat aircraft of the US Navy qualifies as the first microprocessor. Although interesting, it was not a single-chip processor, as was not the Intel 4004 – they both were more like a set of parallel building blocks you could use to make a general-purpose form. It contains a CPU, RAM, ROM, and two other support chips like the Intel 4004. It was made from the same P-channel technology, operated at military specifications and had larger chips – an excellent computer engineering design by any standards. Its design indicates a major advance over Intel, and two year earlier. It actually worked and was flying in the F-14 when the Intel 4004 was announced. It indicates that today's industry theme of converging DSP-microcontroller architectures was started in 1971.[28]

This convergence of DSP and microcontroller architectures is known as a digital signal controller.[29]

Gilbert Hyatt (1970)

In 1990, American engineer Gilbert Hyatt was awarded U.S. Patent No. 4,942,516,

Franchise Tax Board of California v. Hyatt (2019)
.

Texas Instruments TMX 1795 (1970–1971)

Along with Intel (who developed the 8008), Texas Instruments developed in 1970–1971 a one-chip CPU replacement for the Datapoint 2200 terminal, the TMX 1795 (later TMC 1795.) Like the 8008, it was rejected by customer Datapoint. According to Gary Boone, the TMX 1795 never reached production. Still it reached a working prototype state at 1971 February 24, therefore it is the world's first 8-bit microprocessor.[36] Since it was built to the same specification, its instruction set was very similar to the Intel 8008.[37][38]

Texas Instruments TMS 1802NC (1971)

The TMS1802NC was announced September 17, 1971, and implemented a four-function calculator. The TMS1802NC, despite its designation, was not part of the

TMS 1000 series; it was later redesignated as part of the TMS 0100 series, which was used in the TI Datamath calculator. Although marketed as a calculator-on-a-chip, the TMS1802NC was fully programmable, including on the chip a CPU with an 11-bit instruction word, 3520 bits (320 instructions) of ROM and 182 bits of RAM.[37][39][38][40]

Pico/General Instrument (1971)

The PICO1/GI250 chip introduced in 1971: It was designed by Pico Electronics (Glenrothes, Scotland) and manufactured by General Instrument of Hicksville NY.

In 1971, Pico Electronics

RISC instruction set on-chip. The layout for the four layers of the PMOS
process was hand drawn at x500 scale on mylar film, a significant task at the time given the complexity of the chip.

Pico was a spinout by five GI design engineers whose vision was to create single-chip calculator ICs. They had significant previous design experience on multiple calculator chipsets with both GI and

Elliott Automation to create an 8-bit computer in MOS and had helped establish a MOS Research Laboratory in Glenrothes
, Scotland in 1967.

Calculators were becoming the largest single market for semiconductors so Pico and GI went on to have significant success in this burgeoning market. GI continued to innovate in microprocessors and microcontrollers with products including the CP1600, IOB1680 and PIC1650.

PIC microcontroller
business.

Intel 4004 (1971)

The 4004 with cover removed (left) and as actually used (right)

The Intel 4004 is often (falsely) regarded as the first true microprocessor built on a single chip,[44][45] priced at US$60 (equivalent to $450 in 2023).[46] The claim of being the first is definitely false, as the earlier TMS1802NC was also a true microprocessor built on a single chip and the same applies for the - prototype only - 8-bit TMX 1795.[47] The first known advertisement for the 4004 is dated November 15, 1971, and appeared in Electronic News.[citation needed] The microprocessor was designed by a team consisting of Italian engineer Federico Faggin, American engineers Marcian Hoff and Stanley Mazor, and Japanese engineer Masatoshi Shima.[48]

The project that produced the 4004 originated in 1969, when

Ted Hoff, the Intel engineer assigned to evaluate the project, believed the Busicom design could be simplified by using dynamic RAM storage for data, rather than shift register memory, and a more traditional general-purpose CPU architecture. Hoff came up with a four-chip architectural proposal: a ROM chip for storing the programs, a dynamic RAM chip for storing data, a simple I/O
device, and a 4-bit central processing unit (CPU). Although not a chip designer, he felt the CPU could be integrated into a single chip, but as he lacked the technical know-how the idea remained just a wish for the time being.

First microprocessor by Intel, the 4004

While the architecture and specifications of the MCS-4 came from the interaction of Hoff with

silicon gate technology (SGT) in 1968 at Fairchild Semiconductor[49] and designed the world's first commercial integrated circuit using SGT, the Fairchild 3708, had the correct background to lead the project into what would become the first commercial general purpose microprocessor. Since SGT was his very own invention, Faggin also used it to create his new methodology for random logic design that made it possible to implement a single-chip CPU with the proper speed, power dissipation and cost. The manager of Intel's MOS Design Department was Leslie L. Vadász at the time of the MCS-4 development but Vadász's attention was completely focused on the mainstream business of semiconductor memories so he left the leadership and the management of the MCS-4 project to Faggin, who was ultimately responsible for leading the 4004 project to its realization. Production units of the 4004 were first delivered to Busicom in March 1971 and shipped to other customers in late 1971.[citation needed
]

8-bit designs

The

instruction set and operation of the processor. In 1969, CTC contracted two companies, Intel and Texas Instruments, to make a single-chip implementation, known as the CTC 1201.[52] In late 1970 or early 1971, TI dropped out being unable to make a reliable part. In 1970, with Intel yet to deliver the part, CTC opted to use their own implementation in the Datapoint 2200, using traditional TTL logic instead (thus the first machine to run "8008 code" was not in fact a microprocessor at all and was delivered a year earlier). Intel's version of the 1201 microprocessor arrived in late 1971, but was too late, slow, and required a number of additional support chips. CTC had no interest in using it. CTC had originally contracted Intel for the chip, and would have owed them US$50,000 (equivalent to $376,171 in 2023) for their design work.[52] To avoid paying for a chip they did not want (and could not use), CTC released Intel from their contract and allowed them free use of the design.[52] Intel marketed it as the 8008 in April, 1972, as the world's first 8-bit microprocessor. It was the basis for the famous "Mark-8" computer kit advertised in the magazine Radio-Electronics in 1974. This processor had an 8-bit data bus and a 14-bit address bus.[53]

The 8008 was the precursor to the successful Intel 8080 (1974), which offered improved performance over the 8008 and required fewer support chips. Federico Faggin conceived and designed it using high voltage N channel MOS. The Zilog Z80 (1976) was also a Faggin design, using low voltage N channel with depletion load and derivative Intel 8-bit processors: all designed with the methodology Faggin created for the 4004. Motorola released the competing 6800 in August 1974, and the similar MOS Technology 6502 was released in 1975 (both designed largely by the same people). The 6502 family rivaled the Z80 in popularity during the 1980s.

A low overall cost, little packaging, simple

computer bus requirements, and sometimes the integration of extra circuitry (e.g. the Z80's built-in memory refresh circuitry) allowed the home computer "revolution" to accelerate sharply in the early 1980s. This delivered such inexpensive machines as the Sinclair ZX81, which sold for US$99 (equivalent to $331.79 in 2023). A variation of the 6502, the MOS Technology 6510 was used in the Commodore 64 and yet another variant, the 8502, powered the Commodore 128
.

ARM (32-bit) and other microprocessor intellectual property
(IP) providers in the 1990s.

Motorola introduced the MC6809 in 1978. It was an ambitious and well thought-through 8-bit design that was source compatible with the 6800, and implemented using purely hard-wired logic (subsequent 16-bit microprocessors typically used microcode to some extent, as CISC design requirements were becoming too complex for pure hard-wired logic).

Another early 8-bit microprocessor was the Signetics 2650, which enjoyed a brief surge of interest due to its innovative and powerful instruction set architecture.

A seminal microprocessor in the world of spaceflight was

radiation-hardened
microprocessor.

The RCA 1802 had a

clock frequency could be made arbitrarily low, or even stopped. This let the Galileo spacecraft use minimum electric power for long uneventful stretches of a voyage. Timers or sensors would awaken the processor in time for important tasks, such as navigation updates, attitude control, data acquisition, and radio communication. Current versions of the Western Design Center 65C02 and 65C816 also have static cores
, and thus retain data even when the clock is completely halted.

12-bit designs

The Intersil 6100 family consisted of a 12-bit microprocessor (the 6100) and a range of peripheral support and memory ICs. The microprocessor recognised the DEC PDP-8 minicomputer instruction set. As such it was sometimes referred to as the CMOS-PDP8. Since it was also produced by Harris Corporation, it was also known as the Harris HM-6100. By virtue of its CMOS technology and associated benefits, the 6100 was being incorporated into some military designs until the early 1980s.

16-bit designs

The first multi-chip 16-bit microprocessor was the National Semiconductor IMP-16, introduced in early 1973. An 8-bit version of the chipset was introduced in 1974 as the IMP-8.

Other early multi-chip 16-bit microprocessors include the

INS8900
.

Next in list is the General Instrument CP1600, released in February 1975,[55] which was used mainly in the Intellivision console.

Another early single-chip 16-bit microprocessor was TI's

TMS 9900, which was also compatible with their TI-990 line of minicomputers. The 9900 was used in the TI 990/4 minicomputer, the TI-99/4A home computer, and the TM990 line of OEM microcomputer boards. The chip was packaged in a large ceramic 64-pin DIP package, while most 8-bit microprocessors such as the Intel 8080 used the more common, smaller, and less expensive plastic 40-pin DIP. A follow-on chip, the TMS 9980, was designed to compete with the Intel 8080, had the full TI 990 16-bit instruction set, used a plastic 40-pin package, moved data 8 bits at a time, but could only address 16 KB
. A third chip, the TMS 9995, was a new design. The family later expanded to include the 99105 and 99110.

The

65816 16-bit upgrade of the WDC CMOS 65C02 in 1984. The 65816 16-bit microprocessor was the core of the Apple IIGS and later the Super Nintendo Entertainment System
, making it one of the most popular 16-bit designs of all time.

Intel "upsized" their 8080 design into the 16-bit

80386, cementing their PC market dominance with the processor family's backwards compatibility. The 80186 and 80188 were essentially versions of the 8086 and 8088, enhanced with some onboard peripherals and a few new instructions. Although Intel's 80186 and 80188 were not used in IBM PC type designs,[dubious ] second source versions from NEC, the V20 and V30 frequently were. The 8086 and successors had an innovative but limited method of memory segmentation, while the 80286 introduced a full-featured segmented memory management unit
(MMU). The 80386 introduced a flat 32-bit memory model with paged memory management.

The 16-bit Intel x86 processors up to and including the 80386 do not include

80387 math coprocessors to add hardware floating-point and transcendental function capabilities to the 8086 through 80386 CPUs. The 8087 works with the 8086/8088 and 80186/80188,[56] the 80187 works with the 80186 but not the 80188,[57] the 80287 works with the 80286 and the 80387 works with the 80386. The combination of an x86 CPU and an x87 coprocessor forms a single multi-chip microprocessor; the two chips are programmed as a unit using a single integrated instruction set.[58]
The 8087 and 80187 coprocessors are connected in parallel with the data and address buses of their parent processor and directly execute instructions intended for them. The 80287 and 80387 coprocessors are interfaced to the CPU through I/O ports in the CPU's address space, this is transparent to the program, which does not need to know about or access these I/O ports directly; the program accesses the coprocessor and its registers through normal instruction opcodes.

32-bit designs

Intel 80486
DX2 die

16-bit designs had only been on the market briefly when 32-bit implementations started to appear.

The most significant of the 32-bit designs is the

CPU design of its class. The Apple Lisa and Macintosh designs made use of the 68000, as did other designs in the mid-1980s, including the Atari ST and Amiga
.

The world's first single-chip fully 32-bit microprocessor, with 32-bit data paths, 32-bit buses, and 32-bit addresses, was the

divestiture of AT&T in 1984, it was renamed the WE 32000 (WE for Western Electric), and had two follow-on generations, the WE 32100 and WE 32200. These microprocessors were used in the AT&T 3B5 and 3B15 minicomputers; in the 3B2, the world's first desktop super microcomputer; in the "Companion", the world's first 32-bit laptop computer; and in "Alexander", the world's first book-sized super microcomputer, featuring ROM-pack memory cartridges similar to today's gaming consoles. All these systems ran the UNIX System V
operating system.

The first commercial, single chip, fully 32-bit microprocessor available on the market was the HP FOCUS.

Intel's first 32-bit microprocessor was the iAPX 432, which was introduced in 1981, but was not a commercial success. It had an advanced capability-based object-oriented architecture, but poor performance compared to contemporary architectures such as Intel's own 80286 (introduced 1982), which was almost four times as fast on typical benchmark tests. However, the results for the iAPX432 was partly due to a rushed and therefore suboptimal Ada compiler.[citation needed]

Motorola's success with the 68000 led to the MC68010, which added virtual memory support. The MC68020, introduced in 1984 added full 32-bit data and address buses. The 68020 became hugely popular in the Unix supermicrocomputer market, and many small companies (e.g., Altos, Charles River Data Systems, Cromemco) produced desktop-size systems. The MC68030 was introduced next, improving upon the previous design by integrating the MMU into the chip. The continued success led to the MC68040, which included an FPU for better math performance. The 68050 failed to achieve its performance goals and was not released, and the follow-up MC68060 was released into a market saturated by much faster RISC designs. The 68k family faded from use in the early 1990s.

Other large companies designed the 68020 and follow-ons into embedded equipment. At one point, there were more 68020s in embedded equipment than there were

ColdFire
processor cores are derivatives of the 68020.

During this time (early to mid-1980s),

NS 32132
, which allowed two CPUs to reside on the same memory bus with built in arbitration. The NS32016/32 outperformed the MC68000/10, but the NS32332—which arrived at approximately the same time as the MC68020—did not have enough performance. The third generation chip, the NS32532, was different. It had about double the performance of the MC68030, which was released around the same time. The appearance of RISC processors like the AM29000 and MC88000 (now both dead) influenced the architecture of the final core, the NS32764. Technically advanced—with a superscalar RISC core, 64-bit bus, and internally overclocked—it could still execute Series 32000 instructions through real-time translation.

When National Semiconductor decided to leave the Unix market, the chip was redesigned into the Swordfish Embedded processor with a set of on-chip peripherals. The chip turned out to be too expensive for the

R2000 (1984) and R3000 (1989) were highly successful 32-bit RISC microprocessors. They were used in high-end workstations and servers by SGI, among others. Other designs included the Zilog Z80000
, which arrived too late to market to stand a chance and disappeared quickly.

The

symmetric multiprocessor
(SMP) applications processors with virtual memory.

From 1993 to 2003, the 32-bit x86 architectures became increasingly dominant in desktop, laptop, and server markets, and these microprocessors became faster and more capable. Intel had licensed early versions of the architecture to other companies, but declined to license the Pentium, so AMD and Cyrix built later versions of the architecture based on their own designs. During this span, these processors increased in complexity (transistor count) and capability (instructions/second) by at least three orders of magnitude. Intel's Pentium line is probably the most famous and recognizable 32-bit processor model, at least with the public at broad.

64-bit designs in personal computers

While

gaming console
in 1996), the early 2000s saw the introduction of 64-bit microprocessors targeted at the PC market.

With AMD's introduction of a 64-bit architecture backwards-compatible with x86,

BSD, and macOS
that run 64-bit natively, the software is also geared to fully utilize the capabilities of such processors. The move to 64 bits is more than just an increase in register size from the IA-32 as it also doubles the number of general-purpose registers.

The move to 64 bits by PowerPC had been intended since the architecture's design in the early 90s and was not a major cause of incompatibility. Existing integer registers are extended as are all related data pathways, but, as was the case with IA-32, both floating-point and vector units had been operating at or above 64 bits for several years. Unlike what happened when IA-32 was extended to x86-64, no new general purpose registers were added in 64-bit PowerPC, so any performance gained when using the 64-bit mode for applications making no use of the larger address space is minimal.[citation needed]

In 2011, ARM introduced the new 64-bit ARM architecture.

RISC

In the mid-1980s to early 1990s, a crop of new high-performance reduced instruction set computer (

RISC) microprocessors appeared, influenced by discrete RISC-like CPU designs such as the IBM 801 and others. RISC microprocessors were initially used in special-purpose machines and Unix workstations
, but then gained wide acceptance in other roles.

The first commercial RISC microprocessor design was released in 1984, by

.

In the late 1990s, only two 64-bit RISC architectures were still produced in volume for non-embedded applications: SPARC and Power ISA, but as ARM has become increasingly powerful, in the early 2010s, it became the third RISC architecture in the general computing segment.

SMP and multi-core design

abit two way motherboard
ABIT BP6 motherboard supported two Intel Celeron 366Mhz processors picture shows Zalman heatsinks.
a computer motherboard with zalman heatsinks attached
Abit BP6 dual-socket motherboard shown with Zalman Flower heatsinks

SMP symmetric multiprocessing[64] is a configuration of two, four, or more CPU's (in pairs) that are typically used in servers, certain workstations and in desktop personal computers, since the 1990s. A multi-core processor is a single CPU that contains more than one microprocessor core.

This popular two-socket motherboard from Abit was released in 1999 as the first SMP enabled PC motherboard, the Intel Pentium Pro was the first commercial CPU offered to system builders and enthusiasts. The Abit BP9 supports two Intel Celeron CPU's and when used with a SMP enabled operating system (Windows NT/2000/Linux) many applications obtain much higher performance than a single CPU. The early Celerons are easily overclockable and hobbyists used these relatively inexpensive CPU's clocked as high as 533Mhz - far beyond Intel's specification. After discovering the capacity of these motherboards Intel removed access to the multiplier in later CPU's.

In 2001 IBM released the POWER4 CPU, it was a processor that was developed over five years of research, began in 1996 using a team of 250 researchers. The effort to accomplish the impossible was buttressed by development of and through—remote-collaboration and assigning younger engineers to work with more experienced engineers. The teams work achieved success with the new microprocessor, Power4. It is a two-in-one CPU that more than doubled performance at half the price of the competition, and a major advance in computing. The business magazine eWeek wrote: "The newly designed 1GHz Power4 represents a tremendous leap over its predecessor". An industry analyst, Brad Day of Giga Information Group said: "IBM is getting very aggressive, and this server is a game changer".

The Power4 won "Analysts’ Choice Award for Best Workstation/Server Processor of 2001", and it broke notable records, including winning a contest against the best players on the Jeopardy![65] U.S. television show.

Intel's

AthlonXP line in 2001, Sun released the Niagara and Niagara 2 with eight-cores, AMD's Athlon X2
was released in June 2007. The companies were engaged in a never-ending race for speed, indeed more demanding software mandated more processing power and faster CPU speeds.

By 2012 dual and quad-core processors became widely used in PCs and laptops, newer processors - similar to the higher cost professional level Intel Xeon's - with additional cores that execute instructions in parallel so software performance typically increases, provided the software is designed to utilize advanced hardware. Operating systems provided support for multiple-cores and SMD CPU's, many software applications including large workload and resource intensive applications - such as 3-D games - are programmed to take advantage of multiple core and multi-CPU systems.

Apple, Intel, and AMD currently lead the market with multiple core desktop and workstation CPU's. Although they frequently leapfrog each other for the lead in the performance tier. Intel retains higher frequencies and thus has the fastest single core performance,[66] while AMD is often the leader in multi-threaded routines due to a more advanced ISA and the process node the CPU's are fabricated on.

Multiprocessing concepts for multi-core/multi-cpu configurations are related to Amdahl's law.

Market statistics

In 1997, about 55% of all CPUs sold in the world were 8-bit microcontrollers, of which over 2 billion were sold.[67]

In 2002, less than 10% of all the CPUs sold in the world were 32-bit or more. Of all the 32-bit CPUs sold, about 2% are used in desktop or laptop personal computers. Most microprocessors are used in embedded control applications such as household appliances, automobiles, and computer peripherals. Taken as a whole, the average price for a microprocessor, microcontroller, or DSP is just over US$6 (equivalent to $10.16 in 2023).[68]

In 2003, about $44 billion (equivalent to about $73 billion in 2023) worth of microprocessors were manufactured and sold.[69] Although about half of that money was spent on CPUs used in desktop or laptop personal computers, those count for only about 2% of all CPUs sold.[68] The quality-adjusted price of laptop microprocessors improved −25% to −35% per year in 2004–2010, and the rate of improvement slowed to −15% to −25% per year in 2010–2013.[70]

About 10 billion CPUs were manufactured in 2008. Most new CPUs produced each year are embedded.[71]

See also

Notes

  1. ^ "Function of a Microprocessor". Hubspire. 26 April 2017. Archived from the original on 28 June 2021. Retrieved 28 June 2021.
  2. ^ a b c d "The Surprising Story of the First Microprocessors". 30 August 2016. Archived from the original on 4 October 2022. Retrieved 4 October 2022.
  3. . microprocessor is not a stand-alone computer, since it lacks memory and input/output control. These are the missing parts that the microcontroller supplies, making it more nearly a complete computer on a chip.
  4. . A microprocessor itself is incapable of performing calculations and requires a support system in order to do so. The CPU support system includes a storage system in which not only the operating instructions but also the data (operands) are stored.
  5. ^ FYI: Today's computer chips are so advanced, they are more 'mercurial' than precise – and here's the proof
  6. ^ CMicrotek. "8-bit vs 32-bit Micros" Archived 2014-07-14 at the Wayback Machine.
  7. ^ "Managing the Impact of Increasing Microprocessor Power Consumption" (PDF). Rice University. Archived (PDF) from the original on 3 October 2015. Retrieved 1 October 2015.
  8. ^ Wayne Freeman. "11 Myths About 8-Bit Microcontrollers" Archived 12 August 2022 at the Wayback Machine. 2016. quote: "Basically, by getting your work done faster, you can put the CPU in sleep mode for longer periods of time. Thus, 32-bit MCUs are more power-efficient than 8-bit MCUs, right? Wrong."
  9. S2CID 10673679
    .
  10. ^ "Sir Maurice Wilkes, The Father Of Computing, Dies". Silicon UK. Retrieved 28 November 2023.
  11. S2CID 32003640. Archived from the original
    on 24 November 2017. Retrieved 13 October 2019.
  12. ^ Laws, David (20 September 2018). "Who Invented the Microprocessor?". Computer History Museum. Retrieved 19 January 2024.
  13. ^ "1971: Microprocessor Integrates CPU Function onto a Single Chip". The Silicon Engine. Computer History Museum. Archived from the original on 12 August 2021. Retrieved 22 July 2019.
  14. ^ a b "1968: Silicon Gate Technology Developed for ICs | The Silicon Engine | Computer History Museum". www.computerhistory.org. Archived from the original on 29 July 2020. Retrieved 24 October 2019.
  15. ^ "1971: Microprocessor Integrates CPU Function onto a Single Chip | The Silicon Engine | Computer History Museum". www.computerhistory.org. Archived from the original on 12 August 2021. Retrieved 24 October 2019.
  16. ^ Viatron Computer Systems. "System 21 is Now!" Archived 2011-03-21 at the Wayback Machine (PDF).
  17. ^ Moore, Gordon (19 April 1965). "Cramming more components onto integrated circuits" (PDF). Electronics. 38 (8). Archived from the original (PDF) on 18 February 2008. Retrieved 23 December 2009.
  18. ^ "Excerpts from A Conversation with Gordon Moore: Moore's Law" (PDF). Intel. 2005. Archived from the original (PDF) on 29 October 2012. Retrieved 23 December 2009. {{cite journal}}: Cite journal requires |journal= (help)
  19. from the original on 30 March 2014.
  20. ^ "1971 - Microprocessor Integrates CPU Function onto a Single Chip". The Silicon Engine. Computer History Museum. Archived from the original on 8 June 2010. Retrieved 25 July 2010.
  21. ^ Shaller, Robert R. (15 April 2004). "Technological Innovation in the Semiconductor Industry: A Case Study of the International Technology Roadmap for Semiconductors" (PDF). George Mason University. Archived (PDF) from the original on 19 December 2006. Retrieved 25 July 2010.
  22. ^ RW (3 March 1995). "Interview with Gordon E. Moore". LAIR History of Science and Technology Collections. Los Altos Hills, California: Stanford University. Archived from the original on 4 February 2012.
  23. ^ Bassett 2003. pp. 115, 122.
  24. ^ "First Microprocessor". First Microprocessor | 50th Anniversary of the Microprocessor 2020. Archived from the original on 6 January 2014.
  25. ^ Holt, Ray M. "World's First Microprocessor Chip Set". Ray M. Holt website. Archived from the original on 6 January 2014. Retrieved 25 July 2010.
  26. ISSN 1059-1028
    . Retrieved 21 January 2024.
  27. ^ Holt, Ray (27 September 2001). Lecture: Microprocessor Design and Development for the US Navy F14 FighterJet (Speech). Room 8220, Wean Hall, Carnegie Mellon University, Pittsburgh, PA, US. Archived from the original on 1 October 2011. Retrieved 25 July 2010.{{cite speech}}: CS1 maint: location (link)
  28. (PDF) from the original on 20 July 2011. Retrieved 25 July 2010.
  29. from the original on 29 December 2016.
  30. ^ US 4942516, Hyatt, Gilbert P, "Single chip integrated circuit computer architecture", issued 1990-07-17  Archived 25 May 2012 at the Wayback Machine
  31. ^ a b c d "Chip Designer's 20-Year Quest : Computers: Gilbert Hyatt's solitary battle to patent the microprocessor appears to have paid off, if it can withstand legal challenges. Here's his story". Los Angeles Times. 21 October 1990. Archived from the original on 4 October 2022. Retrieved 4 October 2022.
  32. ^ Markoff, John (20 June 1996). "For Texas Instruments, Some Bragging Rights". The New York Times. Archived from the original on 28 September 2022. Retrieved 4 October 2022.
  33. ^ "The Birth of the Microprocessor". Archived from the original on 4 October 2022. Retrieved 4 October 2022.
  34. ^ "Microprocessor Patent Holder Signs Contract : Invention: La Palma inventor signs with Dutch electronics giant, the first company to accord validity to his patent". Los Angeles Times. 7 November 1991. Archived from the original on 4 October 2022. Retrieved 4 October 2022.
  35. ^ "Inventor's fight for recognition ongoing but not all-consuming - Las Vegas Sun Newspaper". 21 December 2014. Archived from the original on 20 October 2022. Retrieved 4 October 2022.
  36. ^ "The Texas Instruments TMX 1795: The (Almost) first, forgotten microprocessor".
  37. ^ from the original on 19 February 2023. Retrieved 14 August 2022.
  38. ^ from the original on 14 August 2022. Retrieved 14 August 2022.
  39. ^ U.S. Patent no. 4,074,351 (TMS1802NC.)
  40. ^ "STANDARD CALCULATOR ON A CHIP ANNOUNCED BY TEXAS INSTRUMENTS", press release. TI, Sep. 19, 1971. Originally on ti.com but now archived at archive.org.
  41. ^ McGonigal, James (20 September 2006). "Microprocessor History: Foundations in Glenrothes, Scotland". McGonigal personal website. Archived from the original on 20 July 2011. Retrieved 23 December 2009.
  42. ^ Tout, Nigel. "ANITA at its Zenith". Bell Punch Company and the ANITA calculators. Archived from the original on 11 August 2010. Retrieved 25 July 2010.
  43. (0-07-931043-5)
  44. ^ Mack, Pamela E. (30 November 2005). "The Microcomputer Revolution". Archived from the original on 14 January 2010. Retrieved 23 December 2009.
  45. ^ "History in the Computing Curriculum" (PDF). Archived from the original (PDF) on 19 July 2011. Retrieved 23 December 2009. {{cite journal}}: Cite journal requires |journal= (help)
  46. ^ Bright, Peter (15 November 2011). "The 40th birthday of—maybe—the first microprocessor, the Intel 4004". arstechnica.com. Archived from the original on 6 January 2017.
  47. ^ "The Texas Instruments TMX 1795: The (Almost) first, forgotten microprocessor".
  48. .
  49. ^ Faggin, F.; Klein, T.; Vadasz, L. (23 October 1968). Insulated Gate Field Effect Transistor Integrated Circuits with Silicon Gates (JPEG image). International Electronic Devices Meeting. IEEE Electron Devices Group. Archived from the original on 19 February 2010. Retrieved 23 December 2009.
  50. ^ "Intel Microprocessor Quick Reference Guide - Year". www.intel.com. Archived from the original on 6 October 2021. Retrieved 21 September 2021.
  51. .
  52. ^ a b c Wood, Lamont (August 2008). "Forgotten history: the true origins of the PC". Computerworld. Archived from the original on 6 June 2022. Retrieved 7 January 2011.
  53. ^ Intel 8008 data sheet.
  54. ^ "National Semiconductor PACE CPU family". Archived from the original on 25 November 2022. Retrieved 25 November 2022.
  55. ^ EDN Staff (1 January 2000). "General Instrument's microprocessor aimed at minicomputer market". EDN. Archived from the original on 25 November 2022. Retrieved 1 January 2023.
  56. ^ Intel 8087 datasheet, pg. 1
  57. ^ The 80187 only has a 16-bit data bus because it used the 80387SX core.
  58. ^ "Essentially, the 80C187 can be treated as an additional resource or an extension to the CPU. The 80C186 CPU together with an 80C187 can be used as a single unified system." Intel 80C187 datasheet, p. 3, November 1992 (Order Number: 270640-004).
  59. ^ "Implementation of IBM System 370 Via Co-Microprocessors/The Co-Processor Interface on priorart.ip.com". priorart.ip.com. 1 January 1986. Archived from the original on 11 December 2015. Retrieved 23 July 2020.
  60. ^ "Shoji, M. Bibliography". Bell Laboratories. 7 October 1998. Archived from the original on 16 October 2008. Retrieved 23 December 2009.
  61. ^ "Timeline: 1982–1984". Physical Sciences & Communications at Bell Labs. Bell Labs, Alcatel-Lucent. 17 January 2001. Archived from the original on 14 May 2011. Retrieved 23 December 2009.
  62. ^ Turley, Jim (July 1998). "MCore: Does Motorola Need Another Processor Family?". Embedded Systems Design. TechInsights (United Business Media). Archived from the original on 2 July 1998. Retrieved 23 December 2009.
  63. ^ Garnsey, Elizabeth; Lorenzoni, Gianni; Ferriani, Simone (March 2008). "Speciation through entrepreneurial spin-off: The Acorn-ARM story" (PDF). Research Policy. 37 (2): 210–224.
    S2CID 73520408
    . Retrieved 2 June 2011. [...] the first silicon was run on April 26th 1985.
  64. ^ "Difference Between Symmetric and Asymmetric Multiprocessing (With Comparison Chart)". 22 September 2016. Archived from the original on 18 July 2021. Retrieved 18 July 2021.
  65. ^ "IBM100 - A Computer Called Watson". IBM. 7 March 2012. Archived from the original on 19 July 2021. Retrieved 19 July 2021.
  66. ^ Tarasov, Katie (22 November 2022). "How AMD became a chip giant and leapfrogged Intel after years of playing catch-up". CNBC. Retrieved 17 May 2023.
  67. ^ Cantrell, Tom (1998). "Microchip on the March". Archived from the original on 20 February 2007.
  68. ^ a b Turley, Jim (18 December 2002). "The Two Percent Solution". Embedded Systems Design. TechInsights (United Business Media). Archived from the original on 3 April 2015. Retrieved 23 December 2009.
  69. ^ WSTS Board Of Directors. "WSTS Semiconductor Market Forecast World Release Date: 1 June 2004 - 6:00 UTC". Miyazaki, Japan, Spring Forecast Meeting 18–21 May 2004 (Press release). World Semiconductor Trade Statistics. Archived from the original on 7 December 2004.
  70. ^ Sun, Liyang (25 April 2014). "What We Are Paying for: A Quality Adjusted Price Index for Laptop Microprocessors". Wellesley College. Archived from the original on 11 November 2014. Retrieved 7 November 2014. … compared with -25% to -35% per year over 2004-2010, the annual decline plateaus around -15% to -25% over 2010-2013.
  71. ^ Barr, Michael (1 August 2009). "Real men program in C". Embedded Systems Design. TechInsights (United Business Media). p. 2. Archived from the original on 22 October 2012. Retrieved 23 December 2009.

References

  • Ray, A. K.; Bhurchand, K.M. (2013). Advanced Microprocessors and Peripherals (3rd ed.). India: Tata McGraw-Hill.
    OCLC 878079623
    .

External links