Power management

Source: Wikipedia, the free encyclopedia.

Power management is a feature of some electrical appliances, especially

ACPI
which superseded
APM
. All recent computers have ACPI support.

Motivations

PC power management for computer systems is desired for many reasons, particularly:

Lower power consumption also means lower

heat dissipation
, which increases system stability, and less energy use, which saves money and reduces the impact on the environment.

Processor level techniques

The power management for microprocessors can be done over the whole processor, or in specific components, such as cache memory and main memory.

With dynamic voltage scaling and dynamic frequency scaling, the CPU core voltage, clock rate, or both, can be altered to decrease power consumption at the price of potentially lower performance. This is sometimes done in real time to optimize the power-performance tradeoff.

Examples:

Additionally, processors can selectively power off internal circuitry (power gating). For example:

  • Newer Intel Core processors support ultra-fine power control over the functional units within the processors.
  • AMD CoolCore technology get more efficient performance by dynamically activating or turning off parts of the processor.[3]

Intel VRT
technology split the chip into a 3.3V I/O section and a 2.9V core section. The lower core voltage reduces power consumption.

Heterogeneous computing

big.LITTLE
architecture can migrate processes between faster "big" cores and more power efficient "LITTLE" cores.

Operating system level: hibernation

When a

disk and powers down the machine. On startup it reloads the data. This allows the system to be completely powered off while in hibernate mode. This requires a file the size of the installed RAM to be placed on the hard disk, potentially using up space even when not in hibernate mode. Hibernate mode is enabled by default in some versions of Windows
and can be disabled in order to recover this disk space.

In GPUs

Graphics processing unit (

All of this comes with some drawbacks, the high computing capability of GPUs comes at the cost of high
power dissipation. Much research has been done over the power dissipation issue of GPUs and many techniques have been proposed to address this issue. Dynamic voltage scaling/dynamic frequency scaling (DVFS) and clock gating
are two commonly used techniques for reducing dynamic power in GPUs.

DVFS techniques

Experiments show that conventional processor DVFS policy can achieve power reduction of

energy savings
and outperforms several well-designed baselines. For the mainstream GPUs which are extensively used in all kinds of commercial and personal applications several DVFS techniques exist and are built into the GPUs alone,
GTX 480 can achieve a 28% lower power consumption while only decreasing performance by 1% for a given task.[8]

Power gating techniques

Much research has been done on the dynamic power reduction with the use of DVFS techniques. However, as technology continues to shrink, leakage power will become a dominant factor.[9] Power gating is a commonly used circuit technique to remove leakage by turning off the supply voltage of unused circuits. Power gating incurs energy overhead; therefore, unused circuits need to remain idle long enough to compensate this overheads. A novel micro-architectural technique[10] for run-time power-gating caches of GPUs saves leakage energy. Based on experiments on 16 different GPU workloads, the average energy savings achieved by the proposed technique is 54%. Shaders are the most power hungry component of a GPU, a predictive shader shut down power gating technique[11] achieves up to 46% leakage reduction on shader processors. The Predictive Shader Shutdown technique exploits workload variation across frames to eliminate leakage in shader clusters. Another technique called Deferred Geometry Pipeline seeks to minimize leakage in

fixed-function geometry units
by utilizing an imbalance between geometry and fragment computation across batches which removes up to 57% of the leakage in the fixed-function geometry units. A simple time-out power gating method can be applied to non-shader execution units which eliminates 83.3% of the leakage in non-shader execution units on average. All the three techniques stated above incur negligible performance degradation, less than 1%.[12]

See also

References

  1. ^ "AMD PowerNow! Technology with optimized power management". AMD. Retrieved 2009-04-23.
  2. ^ "IBM EnergyScale for POWER6 Processor-Based Systems". IBM. Retrieved 2009-04-23.
  3. ^ "AMD Cool'n'Quiet Technology Overview". AMD. Retrieved 2009-04-23.
  4. ^ "What is GPU computing". Nvidia.
  5. ^ "Dynamic voltage and frequency scaling framework for low-power embedded GPUs", Daecheol You et al., Electronics Letters (Volume:48, Issue: 21 ), 2012.
  6. ^ "Effects of Dynamic Voltage and Frequency Scaling on a K20 GPU", Rong Ge et al., 42nd International Conference on Parallel Processing Pages 826-833, 2013.
  7. ^ "GreenGPU: A Holistic Approach to Energy Efficiency in GPU-CPU Heterogeneous Architectures", Kai Ma et al., 41st International Conference on Parallel Processing Pages 48-57, 2012.
  8. ^ "Power and performance analysis of GPU-accelerated systems", Yuki Abe et al., USENIX conference on Power-Aware Computing and Systems Pages 10-10, 2012.
  9. ^ "Design challenges of technology scaling", Borkar, S., IEEE Micro (Volume:19, Issue: 4 ), 1999.
  10. ^ "Run-time power-gating in caches of GPUs for leakage energy savings", Yue Wang et al., Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012
  11. ^ "A Predictive Shutdown Technique for GPU Shader Processors", Po-Han Wang et al., Computer Architecture Letters (Volume: 8, Issue: 1 ), 2009
  12. ^ "Power gating strategies on GPUs", Po-Han Wang et al., ACM Transactions on Architecture and Code Optimization (TACO) Volume 8 Issue 3, 2011

External links