Wafer fabrication

Source: Wikipedia, the free encyclopedia.
Simplified illustration of the process of fabrication of a CMOS inverter on p-type substrate in semiconductor microfabrication. Each etch step is detailed in the following image. Note: Gate, source and drain contacts are not normally in the same plane in real devices, and the diagram is not to scale.

Wafer fabrication is a procedure composed of many repeated sequential processes to produce complete

optical computer components, and microprocessors for computers
. Wafer fabrication is used to build components with the necessary electrical structures.

The main process begins with

computer aided design. This is necessary for the layers to be defined for photomask
production. The resolution of the circuits increases rapidly with each step in design, as the scale of the circuits at the start of the design process is already being measured in fractions of micrometers. Each step thus increases circuit density for a given area.

The silicon wafers start out blank and pure. The circuits are built in layers in

chemical vapors are deposited on to the desired zones and baked in high heat
, which permeate the vapors into the desired zones. In some cases, ions, such as O2+ or O+, are implanted in precise patterns and at a specific depth by using RF-driven ion sources.

These steps are often repeated many hundreds of times, depending on the complexity of the desired circuit and its connections.

New processes to accomplish each of these steps with better resolution and in improved ways emerge every year, with the result of constantly changing technology in the wafer fabrication industry. New technologies result in denser packing of minuscule surface features such as

Moore's Law
.

A

(SMIC).

In 2013 the cost of building the next generation wafer fab was over $10 billion.[1]

WFE market

Referred to respectively as the wafer fab equipment

Dainippon Screen Manufacturing as market participants[2] while the 2019 electronicsweekly.com report, citing The Information Network’s president Robert Castellano, focused on the respective market shares commanded by the two leaders, Applied Materials and ASML.[3]

References

  1. ^ Should an Indian fab use older process? Business Standard, 2013
  2. ^ a b apexresearch, "Global Wafer Fab Equipment (WFE) Market Insights 2019-2025" njmmanews.com, January 30, 2020. Sample apexresearch report (PDF). "Warning: Potential Security Risk Ahead" on the njmmanews.com link to the "Jesus Martinez fills in against Nah-Shon Burrell at Bellator 108" citation in the Nah-Shon Burrell Wikipedia article; no warning on the other links to the njmmanews.com site here in the "Wafer fabrication" Wikipedia article. Retrieved 2020-05-29.
  3. ^ a b Manners, David, "Applied to lose front-end equipment crown to ASML", electronicsweekly.com, 26 November 2019. Retrieved 2020-05-29.