Eby Friedman

Source: Wikipedia, the free encyclopedia.
Eby G. Friedman
Electrical and Computer Engineering
InstitutionsUniversity of Rochester
Technion – Israel Institute of Technology
Hughes Aircraft Company
Doctoral advisorJames H. Mulligan, Jr.
Websitewww.ece.rochester.edu/~friedman

Eby G. Friedman is an electrical engineer, and Distinguished Professor of Electrical and Computer Engineering at the

IEEE
.

Early life and education

Born in Jersey City, New Jersey, in 1957,[1][2] he earned an electrical engineering baccalaureate degree from Lafayette College in 1979, a master's degree (1981) and a doctoral degree (1989) from the University of California, Irvine, also in electrical engineering.[3] Friedman graduated from Snyder High School in Jersey City, New Jersey in 1975. Friedman married his wife Laurie in 1984, and they have two sons.[4]

Career

Friedman's research interests include

VLSI design and analysis, clock synchronization, power delivery, 3-D integration, superconductive single flux quantum circuits, and mixed-signal circuits.[5]

His career began in the Netherlands in 1978, working at Philips Gloeilampen Fabreiken on designing bipolar differential amplifiers.[1] From 1979 to 1991 he worked at Hughes Aircraft Company, developing a large variety of integrated circuits for US military and commercial applications.[6] He joined the Electrical and Computer Engineering faculty at the University of Rochester in 1991.[6]

Friedman became a Fellow of the

IEEE CAS Society,[citation needed] and in 2013, he was awarded the Charles A. Desoer Technical Achievement Award,[8]. In October 2015 he was inducted into the University of California, Irvine, Engineering Hall of Fame.[9] He received the IEEE CAS Mac Van Valkenburg award in 2018.[10]

Service

Editing

Friedman is a member of the editorial board of the

Journal of Circuits, Systems and Computers.[13] He formerly served as a member of several editorial boards: Analog Integrated Circuits and Signal Processing,[13] Journal of VLSI Signal Processing,[citation needed], Proceedings of the IEEE and IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing.[citation needed
]

Committee work

Friedman has served multiple IEEE societies and committees: Circuits and Systems (CAS) Society Board of Governors and CAS liaison to the Solid-State Circuits Society (SSCS);[citation needed] past chair of the VLSI Systems and Applications Circuits and Systems Society Technical Committee;[14] and past chair of the Electron Devices Chapter of the Rochester Section.[citation needed]

Selected workshops and conferences

He was General/Program/Technical Co-Chair, for the 1997 International Workshop on Clock Distribution Networks.[15] He has also chaired the following IEEE events: the 2000 Workshop on Signal Processing Systems,[16] the 2003 and 2004 IEEE International Workshop on System-on-Chip for Real-Time Applications,[17] technical program chair of the 2004 IEEE International Conference on Electronics, Circuits, and Systems,[18] the 2006 IEEE International Symposium on Circuits and Systems,[19] and the 2007 IEEE International Symposium on Networks on Chip (NoC).[20]

Publications and patents

Friedman has published almost 600 papers[21] and is co-inventor of 29 patents.[22]

Books

  • Clock Distribution Networks in VLSI Circuits and Systems (IEEE Press, 1995)[23]
  • High Performance Clock Distribution Networks (Kluwer Academic Publishers, 1997)[24]
  • Analog Design Issues in Digital VLSI Circuits and Systems (Kluwer Academic Publishers, 1997)[25]
  • Timing Optimization through Clock Skew Scheduling ( 2000 and 2009)(first and second edition)[25]
  • On-Chip Inductance in High Speed Integrated Circuits (Kluwer Academic Publishers, 2001)[26]
  • Power Distribution Networks in High Speed Integrated Circuits (Kluwer Academic Publishers, 2004)[27]
  • Multi-Voltage CMOS Circuit Design (John Wiley & Sons Press, 2006)[28]
  • Power Distribution Networks with On-Chip Decoupling Capacitors (Springer Verlag, 2008 and 2011)(first and second edition) [29]
  • Three-Dimensional Integrated Circuit Design (Morgan Kaufmann, 2009 and 2017)(first and second edition)[30]
  • High Performance Integrated Circuit Design (McGraw-Hill Publishers, 2012) [31]
  • On-Chip Power Delivery and Management (Springer, 2016)
  • Single Flux Quantum Integrated Circuit Design (Springer, 2022)
  • Graphs in VLSI (Springer, 2023)

Selected articles

  • I. Vaisband, B. Price, S. Kose, Y. Kolla, E. G. Friedman, and J. Fischer, "Distributed LDO Regulators in a 28 nm Power Delivery System," Analog Integrated Circuits and Signal Processing, Volume 83, Issue 3, pp. 295 – 309, 2015.[32]
  • I. Vaisband and E. G. Friedman, "Energy Efficient Clustering of On-Chip Power Delivery Systems," Integration, the VLSI Journal, Volume 48, pp. 1 – 9, 2015.[33]
  • M. Kazemi, E. Ipek, and E. G. Friedman, "Adaptive Compact Magnetic Tunnel Junction Model," IEEE Transactions on Electron Devices, Vol. 61, No. 11, pp. 3883–3891, November 2014.[34]
  • S. Kvatinsky, N. Wald, G. Satat, E. G. Friedman, A. Kolodny, and U. C. Weiser, "Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 22, No. 10, pp. 2054–2066, October 2014.[35]
  • A. Shapiro and E. G. Friedman, "MOS Current Mode Logic Near Threshold Circuits," Journal on Low Power Electronics and Applications, Volume 4, pp. 138 – 152, 2014.[36]
  • R. Patel, E. Ipek, and E. G. Friedman, "2T - 1R STT-MRAM Memory Cells for Enhanced Sense Margin and On/Off Current Ratio," Microelectronics Journal, Volume 45, Issue 2, pp. 133 – 143, February 2014.[37]
  • S. Kvatinsky, Y. H. Nacson, Y. Etsion, E. G. Friedman, A. Kolodny, and U. C. Weiser, "Memristor-Based Multithreading," IEEE Computer Architecture Letters, Vol. 13, No. 1, pp. 41 – 44, January–June 2014.[38]
  • Friedman, Eby G. "Clock distribution networks in synchronous digital integrated circuits." Proceedings of the IEEE 89.5 (2001): 665-692.[39]
  • Ismail, Yehea, and Eby G. Friedman. "Effects of inductance on the propagation delay and repeater insertion in VLSI circuits." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 8.2 (2000): 195-206.[40]
  • Ismail, Yehea, Eby G. Friedman, and Jose L. Neves. "Figures of merit to characterize the importance of on-chip inductance." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 7.4 (1999): 442-449.[41]
  • Hauryla, Mikhail, et al. "On-chip optical interconnect roadmap: challenges and critical directions." Selected Topics in Quantum Electronics, IEEE Journal of12.6 (2006): 1699-1705.[42]

References

  1. ^ a b "Eby G. Friedman's Homepage". www2.ece.rochester.edu. Retrieved 2017-12-13.
  2. ^ Deckert, Andrea. "Researcher, teacher are among the many hats he wears", Rochester Business Journal, April 4, 2008. Accessed March 22, 2023. "He may be a giant in the world of microchip design, but Eby Friedman sees himself as more of a kid from Jersey City.... Friedman was born and raised in Jersey City, N.J. He could see the Statue of Liberty from his high school chemistry class."
  3. ^ "Manhattan Routing Welcomes Eby Friedman, IEEE Fellow and Distinguished Professor at the University of Rochester, to Technical Advisory Board". www.businesswire.com. 2004-06-07. Retrieved 2017-12-13.
  4. ^ "Connecticut Marriage Index, 1959-2012". Ancestry.com. 10 June 1984. Retrieved 2017-12-13.
  5. ^ "Directory: Electrical and Computer Engineering". Ece.rochester.edu. Retrieved 2015-12-17.
  6. ^
    S2CID 1463358
    .
  7. ^ "William H. Riker University Award for Excellence in Graduate Teaching". www.rochester.edu. Office of the Provost, University of Rochester. Retrieved 2017-12-13.
  8. ^ "Charles A. Desoer Technical Achievement Award | IEEE Circuits and Systems Society". Ieee-cas.org. Archived from the original on 2016-02-23. Retrieved 2015-07-14.
  9. ^ "2015 Hall of Fame Inductees | The Henry Samueli School of Engineering at UC Irvine". engineering.uci.edu. Retrieved 2017-12-13.
  10. ^ "IEEE Circuits and Systems Society Mac Van Valkenburg Award". ieee-cas.org. Retrieved 2018-05-18.
  11. ^ "Editors of JLPEA". MDPI. Retrieved 2017-05-08.
  12. ^ "Past Editors in Chief - IEEE CAS". ieee-cas.org. Retrieved 2017-12-13.
  13. ^ a b "Power Delivery in Heterogeneous Nanoscale Integrated Circuits | EE". www.ee.ucla.edu. August 2017. Retrieved 2017-12-13.
  14. ^ "Officers and Members". ieee-cas.org. Retrieved 2015-07-14.
  15. ^ "Publications search" (PDF). springer.com. Retrieved 2015-07-14. [dead link]
  16. .
  17. ^ "4th IEEE International Workshop on System-on-Chip for Real-Time Applications". IEEE. 2004. Retrieved 2015-07-14.
  18. .
  19. ^ "Publications search" (PDF). ieee-cas.org. Archived from the original (PDF) on 2016-03-30. Retrieved 2015-07-14.
  20. ISBN 978-0-7695-2773-4. {{cite book}}: |website= ignored (help
    )
  21. ^ "Eby G. Friedman/Publications". Retrieved 2014-07-14.
  22. ^ "Eby G. Friedman/Patents". www2.ece.rochester.edu. Retrieved 2017-12-13.
  23. .
  24. .
  25. ^ .
  26. ^ "On-Chip Inductance in High-Speed Integrated Circuits" (PDF). Ece.northwestern.edu. Archived from the original (PDF) on 2015-06-15. Retrieved 2014-07-14.
  27. .
  28. .
  29. . Retrieved 2014-07-14.
  30. ^ F. Pavlidis; Eby G. Friedman. "Three-Dimensional Integrated Circuit Design". Elsevier Inc. Retrieved 2014-07-14.
  31. . Retrieved 2014-07-14.
  32. .
  33. .
  34. .
  35. .
  36. .
  37. .
  38. .
  39. ^ Eby G. Friedman. "Clock Distribution Networks in Synchronous Digital Integrated Circuits" (PDF). Eecs.wsu.edu. Archived from the original (PDF) on 2015-06-01. Retrieved 2014-07-14.
  40. ISSN 1063-8210
    .
  41. .
  42. .