Tokyo Electron

Source: Wikipedia, the free encyclopedia.
Tokyo Electron Limited
Subsidiaries26 Group companies, including Tokyo Electron Device (TYO: 2760)
Websitetel.com
Footnotes / references
[3][4][5][6]

Tokyo Electron Limited (

networking devices.[4] As of 2011, TEL was the largest manufacturer of IC and FPD production equipment.[4] Listed on the Nikkei 225,[8] in 2024, Tokyo Electron had a market cap of US$114.6 billion, making it the third-most valuable company in Japan in terms of market cap, and the 12th ranked semiconductor-related company worldwide.[9]

Company history

1963-1979

On 11 November 1963 Tokyo Electron Laboratories Incorporated was founded by Tokuo Kubo and Toshio Kodaka, largely funded by

diffusion furnaces made by Thermco and selling Japanese-made car radios.[7]

In 1965 the company approached a rapidly growing business in the market,

Fairchild Semiconductor Corporation, and agreed to serve as a sales agency for them, increasing their capital to twenty million yen. Tokyo Electron began exporting IC testers, IC sockets, IC connectors, and other similar computer components.[7]

The company opened an office in

Teltron, a major manufacturer and distributor of car stereos, expanding their headquarters to fill the entire TBS-2 building and raising their capital to 100 million yen.[7]

1980-1999

The American electronics company

New York Times as a maker of semiconductor manufacturing equipment.[11]

In 1990, Tokyo Electron was competing with the Japanese company

Kokusai.[13] By the early 1990s, Tokyo Electron's American rival Applied Materials was losing market share, and "sought U.S. government help in the form of an import quota" to the American market.[14] In May 1995, Tokyo Electron Ltd. was Japan's largest vendor of equipment to make semiconductors.[15]

2000-2024

After import controls, Applied Materials by 2001 was double the size of Tokyo Electron, which was its next nearest competitor.[14] In 2001, TEL acquired Timbre Technologies Inc. in Fremont, California, which developed measurement software technology.[16] In 2004, TEL announced improvements to its plasma etch chamber products.[17] In 2005, Tokyo Electron was still the second-largest maker of chip manufacturing gear after Applied Materials, and above ASML.[18] In 2006, Tokyo Electron had a research center at the Albany NanoTech complex, a nanotechnology center in New York.[19] The company started a venture capital unit in 2006, TEL Venture Capital, based in California.[20]

As of 2011, TEL was the largest manufacturer of IC and FPD production equipment.[4] On September 24, 2013, Tokyo Electron and Applied Materials announced a merger,[21] forming a new company to be called Eteris.[22][23] Eteris would have been the world's largest supplier of semiconductor processing equipment, with a total market value of approximately $29 billion. On 26 April 2015, the $10 billion merger was cancelled due to antitrust concerns in the United States.[24]

In June 2023, Tokyo Electron was ranked among the Forbes

3D NAND flash memory,[9] making it the only competitor to Lam Research.[27]

In early 2024 the company said it would raise its employees' starting salaries by 40% to secure talent and compete with the payrates of foreign companies.

Sony Group. It also become the 12th ranked among semiconductor-related companies worldwide in terms of market cap.[9] It continues to be listed on the Nikkei 225.[8] In 2024, Toshiki Kawai was president and CEO, while Yoshikazu Nunokawa was chairman of the board.[29]

Products and services

Supplying equipment to

wafer probers. The FPD segment focuses on SPE for flat panel displays.[30] In 2024, services listed by the company included field solutions, engineering services, repairs and spare parts, upgrades and modifications, and overhauling used products.[32]

In 2012, TEL produced SPE for multiple purposes.

KLA Tencor) in 2012,[40] and products for material and surface modification and doping using gas cluster ion beam (GCIB) technology.[41] In 2016, it was involved in selling Advanced Packaging.[42]

Group companies

TEL headquarters in akasaka Sacas, Tokyo
TEL Europe Ltd headquarters in Crawley, England

The Tokyo Electron Group consists of TEL and the following subsidiaries:[3][43]

  • TEL Epion Inc.
  • TEL FSI, Inc.
  • TEL Solar
  • TEL Technology Center, America, LLC
  • TEL Venture Capital, Inc.
  • Tokyo Electron Device Limited
  • Tokyo Electron Yamanashi Limited
  • Tokyo Electron Tohoku Limited
  • Tokyo Electron Kyushu Limited
  • Tokyo Electron Miyagi Limited
  • Tokyo Electron Technology Development Institute, Inc.
  • Tokyo Electron Software Technologies Limited
  • Tokyo Electron FE Limited
  • Tokyo Electron BP Limited
  • Tokyo Electron PV Limited
  • Tokyo Electron TS Limited
  • Tokyo Electron Agency Limited
  • Tokyo Electron U.S. Holdings, Inc.
  • Tokyo Electron America, Inc.
  • Tokyo Electron Europe Limited — Head Office (Crawley, England)
    German Branch
    Italian Branch
    Netherlands Branch
    Irish Branch
    French Branch
  • Tokyo Electron Israel Limited
  • Tokyo Electron Korea Limited
  • Tokyo Electron Korea Solution Limited
  • Tokyo Electron Taiwan Limited
  • Tokyo Electron (Shanghai) Limited
  • Tokyo Electron (Shanghai) Logistic Center Limited
  • Tokyo Electron (Kunshan) Limited
  • Tokyo Electron Malaysia Sdn. Bhd.
  • Tokyo Electron Singapore Pte. Ltd.
  • Timbre Technologies, Inc.

Research and development

TEL's Leading-edge Process Development Center is located in

Leuven, Belgium.[3]

In July 2014 TEL announced the establishment of joint assembly lab with the Institute of Microelectronics in Singapore. The lab is focused on the research and development of Wafer Level Packaging and assembly, to address the need of

Internet of Things with devices of high performance and low power consumption.[44]

In 2023 it was selling its US headquarters in Southeast Austin, Texas, under CEO Toshiki Kawai.[45] In 2024, it leased a new American headquarters in Austin.[46] In 2025, Tokyo Electron Technology Solutions, the company's manufacturing subsidiary, is expected to build a $170 million chip equipment plant in Oshu, Japan.[47]

Sponsorships

Tokyo Electron Hall Miyagi in downtown Sendai

TEL supports

Kofu and Nirasaki as well as the rest of Yamanashi Prefecture
.

The company has acquired naming rights of two multipurpose halls:

See also

References

  1. ^ "Leadership". tel.com. Tokyo Electron. Retrieved 2022-12-25.
  2. ^ a b c "Tokyo Electron". Forbes.
  3. ^ a b c "Annual Report 2011" (PDF). Tokyo Electron Limited. March 31, 2011. Archived from the original (PDF) on January 4, 2012. Retrieved February 23, 2012.
  4. ^ a b c d e f g h "Fact Book 2011" (PDF). Tokyo Electron Limited. March 31, 2011. Retrieved February 23, 2012.[permanent dead link]
  5. ^ "Company Info". Tokyo Electron Limited. April 1, 2013. Retrieved March 11, 2014.
  6. ^ "Tokyo Electron Ltd: TYO:8035 quotes & news - Google Finance". www.google.com.
  7. ^ a b c d e "Explore Our History". Tokyo Electron Limited. Archived from the original on March 1, 2012. Retrieved February 23, 2012.
  8. ^ a b "Japan's Socionext, Dicso to be added to Nikkei index". Reuters. March 4, 2024. Retrieved March 8, 2024.
  9. ^ a b c "Tokyo Electron's market cap surpasses Sony on Nvidia boost". Nikkei Asia. February 23, 2024. Retrieved March 8, 2024.
  10. ^ "Briefs". July 22, 1981. Retrieved March 15, 2024.
  11. ^ "Market Place; Japan Holdings Bolster Funds". The New York Times. May 22, 1986. Retrieved March 15, 2024.
  12. ^ "Low-Tech Company In High-Tech Gamble". The New York Times. February 13, 1990. Retrieved March 15, 2024.
  13. ^ "COMPANY NEWS; Japanese Criticized by Chip Makers". The New York Times. May 7, 1991. Retrieved March 15, 2024.
  14. ^ a b "Morgan's Law". Forbes. February 19, 2001. Retrieved March 15, 2024.
  15. ^ "Market Place; For Japanese chip makers, a boom time mixed with caution". The New York Times. May 3, 1995. Retrieved March 15, 2024.
  16. ^ "TEL to acquire Timbre Technologies". Semiconductor Digest. 2001. Retrieved March 16, 2024.
  17. ^ "TEL claims to have improved SCCM plasma etch process". EE Times. December 13, 2004. Retrieved March 16, 2024.
  18. ^ "Profit rises with sales at ASML". The New York Times. January 20, 2005. Retrieved March 15, 2024.
  19. ^ "New York Bets on High-Tech to Aid Upstate". The New York Times. October 28, 2006. Retrieved March 15, 2024.
  20. ^ "Tokyo Electron Starts Venture Capital Unit". The New York Times. May 2, 2006. Retrieved March 15, 2024.
  21. ^ Pfanner, Michael J. de la Merced and Eric (24 September 2013). "U.S. Manufacturer of Chip-Making Equipment Buys Japanese Rival".
  22. ^ Clark, Don (8 July 2014). "WSJ". Wall Street Journal.
  23. ^ "Key Developments". Reuters.com.
  24. ^ "UPDATE 3-Applied Materials scraps Tokyo Electron takeover on U.S. antitrust concerns". Reuters. 27 April 2015.
  25. ^ "The Global 2000". Forbes. June 8, 2023. Retrieved March 9, 2024.
  26. The Financial Times
    . December 15, 2023. Retrieved March 8, 2024.
  27. ^ a b "Tokyo Electron takes aim at NAND etching leader Lam Research". Nikkei Asia. October 15, 2023. Retrieved March 8, 2024.
  28. ^ "Tokyo Electron raises starting pay 40% in race for chip talent". Nikkei Asia. January 1, 2024. Retrieved March 8, 2024.
  29. ^ "Staff". TEL. March 9, 2024. Retrieved March 9, 2024.
  30. ^ a b "Tokyo Electron". Barron's. 2024. Retrieved March 9, 2024.
  31. ^ "Strong demands from China partially offset Tokyo Electron's falling sales". DigiTimesAsia. August 11, 2023. Retrieved March 9, 2024.
  32. ^ "Services". 2024. Retrieved March 9, 2024.
  33. ^ "Thermal Processing". Tokyo Electron Limited. Archived from the original on June 21, 2012. Retrieved February 23, 2012.
  34. ^ "Coater/Developers". Tokyo Electron Limited. Archived from the original on April 15, 2012. Retrieved February 23, 2012.
  35. ^ "Etch Systems". Tokyo Electron Limited. Archived from the original on January 6, 2012. Retrieved February 23, 2012.
  36. ^ "Surface Preparation Systems". Tokyo Electron Limited. Archived from the original on June 21, 2012. Retrieved February 23, 2012.
  37. ^ "Single Wafer Deposition". Tokyo Electron Limited. Archived from the original on June 20, 2012. Retrieved February 23, 2012.
  38. ^ "Wafer Probe Systems". Tokyo Electron Limited. Archived from the original on June 23, 2012. Retrieved February 23, 2012.
  39. ^ "Corrective Etching/Trimming". Tokyo Electron Limited. Archived from the original on April 30, 2012. Retrieved February 23, 2012.
  40. ^ "Integrated Metrology Systems". Tokyo Electron Limited. Archived from the original on April 30, 2012. Retrieved February 23, 2012.
  41. ^ "Material Modification/Doping". Tokyo Electron Limited. Archived from the original on April 30, 2012. Retrieved February 23, 2012.
  42. ^ "Advanced Packaging | Semiconductor Production Equipment | Tokyo Electron". www.tel.com. Retrieved 2016-03-16.
  43. ^ "About TEL Tokyo Electron". www.tel.com. Retrieved 2016-03-16.
  44. ^ "Establishment of Joint Assembly Lab with Institute of Microelectronics in Singapore". Tokyo Electron Limited. Retrieved 29 July 2014.
  45. ^ "Tokyo Electron selling 107-acre office campus in Southeast Austin". The Real Deal. September 28, 2023. Retrieved March 8, 2024.
  46. Austin Business Journal
    . February 2, 2024. Retrieved March 8, 2024.
  47. ^ "Tokyo Electron to build $170m chip equipment plant in Japan". Nikkei Asia. March 21, 2023. Retrieved March 8, 2024.

External links