Itanium: Difference between revisions

Source: Wikipedia, the free encyclopedia.
Content deleted Content added
Extended confirmed users
39,352 edits
m →‎Timeline: - Space before ( and periods
Extended confirmed users
39,352 edits
Conservatively deleting what architecture info. That has been moved to IA-64, see talk page here.
Line 631: Line 631:


In Dec 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annually shipment of 26,000 systems (a decline of over 50% compare to shipments in 2008).<ref>[http://www.pcworld.com/article/2028587/intel-shifts-gears-on-itanium-raising-questions-about-the-server-chips-future.html Intel shifts gears on Itanium, raising questions about the server chip's future]</ref>
In Dec 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annually shipment of 26,000 systems (a decline of over 50% compare to shipments in 2008).<ref>[http://www.pcworld.com/article/2028587/intel-shifts-gears-on-itanium-raising-questions-about-the-server-chips-future.html Intel shifts gears on Itanium, raising questions about the server chip's future]</ref>

== Architecture ==

{{Redirect|IA-64|AMD64 and Intel64 architecture|x86-64}}
{{Infobox CPU architecture
| name=Intel Itanium Architecture
| designer=[[Hewlett-Packard|HP]] and [[Intel Corporation|Intel]]
| bits=64
| introduced=2001
| version=
| design=[[Explicitly parallel instruction computing|EPIC]]
| type=Register-Register
| encoding=
| branching=
| endianness=Selectable
| extensions=
| open=
| registers=
* 128 64-bit general purpose registers
* 128 82-bit floating-point registers
* 64 1-bit predicate registers
}}

[[Image:Itanium arch.png|thumb|The Intel Itanium architecture]]

Intel has extensively documented the Itanium [[instruction set]] and [[microarchitecture]],<ref>{{cite web
| url=http://developer.intel.com/design/itanium/manuals.htm
| title=Intel Itanium Processor Manuals
| accessdate=2007-05-16
| work=[[Intel]] web site
}}</ref>
and the technical press has provided overviews.<ref name="anand"/><ref name="geek1"/> The architecture has been renamed several times during its history. HP originally called it ''PA-WideWord''. Intel later called it ''IA-64'', then ''Itanium Processor Architecture'' (IPA),<ref>{{cite web
| url=http://www.hpworks.org.uk/newsletter/ping-year-ago.rtf
| title=HPWorks Newsletter
| accessdate=2008-01-24
| month=September
| year=2001
}}</ref>
before settling on ''Intel Itanium Architecture'', but it is still widely referred to as ''IA-64''.

It is a 64-bit register-rich explicitly parallel architecture. The base data word is 64 bits, byte-addressable. The [[logical address]] space is 2<sup>64</sup> bytes. The architecture implements [[branch predication|predication]], [[speculative execution|speculation]], and [[branch prediction]]. It uses a hardware [[register renaming]] mechanism rather than simple register windowing for parameter passing. The same mechanism is also used to permit parallel execution of loops. Speculation, prediction, predication, and renaming are under control of the compiler: each instruction word includes extra bits for this. This approach is the distinguishing characteristic of the architecture.

The architecture implements 128 integer [[processor register|registers]], 128 [[floating point]] registers, 64 one-bit predicates, and eight branch registers. The floating point registers are 82 bits long to preserve precision for intermediate results.

=== Instruction execution ===

Each 128-bit instruction word contains three [[instruction (computer science)|instructions]], and the fetch mechanism can read up to two instruction words per clock from the L1 [[CPU cache|cache]] into the pipeline. When the compiler can take maximum advantage of this, the processor can execute six instructions per clock cycle. The processor has thirty functional execution units in eleven groups. Each unit can execute a particular subset of the [[instruction set]], and each unit executes at a rate of one instruction per cycle unless execution stalls waiting for data. While not all units in a group execute identical subsets of the instruction set, common instructions can be executed in multiple units.

The execution unit groups include:
* Six general-purpose ALUs, two integer units, one shift unit
* Four data cache units
* Six multimedia units, two parallel shift units, one parallel multiply, one [[Hamming weight|population count]]
* Two 82-bit floating-point [[multiply–accumulate]] units, two [[SIMD]] floating-point multiply–accumulate units (two 32-bit operations each)<ref>Sharangpani, Harsh; Arora, Ken (2000). "Itanium Processor Microarchitecture". ''[[IEEE Micro]]''. pp. 38–39.</ref>
* Three branch units

The compiler can often group instructions into sets of six that can execute at the same time. Since the floating-point units implement a multiply–accumulate operation, a single floating point instruction can perform the work of two instructions when the application requires a multiply followed by an add: this is very common in scientific processing. When it occurs, the processor can execute four [[FLOP]]s per cycle. For example, the 800&nbsp;MHz Itanium had a theoretical rating of 3.2&nbsp;G[[FLOPS]] and the fastest Itanium 2, at 1.67&nbsp;GHz, was rated at 6.67&nbsp;GFLOPS.

=== Memory architecture ===

From 2002 to 2006, Itanium 2 processors shared a common cache hierarchy. They had 16&nbsp;KB of Level 1 instruction cache and 16&nbsp;KB of Level 1 data cache. The L2 cache was unified (both instruction and data) and is 256&nbsp;KB. The Level 3 cache was also unified and varied in size from 1.5&nbsp;MB to 24&nbsp;MB. The 256&nbsp;KB L2 cache contains sufficient logic to handle [[semaphore (programming)|semaphore]] operations without disturbing the main [[arithmetic logic unit]] (ALU).

Main memory is accessed through a [[computer bus|bus]] to an off-chip [[chipset]]. The Itanium 2 bus was initially called the McKinley bus, but is now usually referred to as the Itanium bus. The speed of the bus has increased steadily with new processor releases. The bus transfers 2×128 bits per clock cycle, so the 200&nbsp;MHz McKinley bus transferred 6.4&nbsp;GB/s, and the 533&nbsp;MHz Montecito bus transfers 17.056&nbsp;GB/[[Second#International second|s]]<ref>{{cite web
| last=Cataldo
| first=Anthony
| title=Intel outfits Itanium processor for faster runs
| work=[[Electronic Engineering Times|EE Times]]
| date= 2001-08-30
| url=http://www.eetimes.com/conf/idf/showArticle.jhtml?articleID=18306162&kc=3172
| accessdate=2007-12-06
}}</ref>

=== Architectural changes ===
{{Redirect-distinguish|Intel VT-i|Intel VT-x}}
Itanium processors released prior to 2006 had hardware support for the [[IA-32]] architecture to permit support for legacy server applications, but performance for IA-32 code was much worse than for native code and also worse than the performance of contemporaneous x86 processors. In 2005, Intel developed the [[IA-32 Execution Layer]] (IA-32 EL), a software emulator that provides better performance. With Montecito, Intel therefore eliminated hardware support for IA-32 code.

In 2006, with the release of [[Montecito (processor)|Montecito]], Intel made a number of enhancements to the basic processor architecture including:<ref>{{cite web
| url=http://www.intel.com/products/processor/itanium/index.htm
| title=Intel product announcement
| accessdate=2007-05-16
| work=[[Intel]] web site
}}{{dead link|date=November 2011}}</ref>
* Hardware multithreading: Each processor core maintains context for two threads of execution. When one thread stalls during memory access, the other thread can execute. Intel calls this "coarse multithreading" to distinguish it from the "[[hyper-threading]] technology" Intel integrated into some [[x86]] and [[x86-64]] microprocessors.
* Hardware support for [[Hardware-assisted virtualization|virtualization]]: Intel added Intel Virtualization Technology (Intel VT-i), which provides hardware assists for core virtualization functions. Virtualization allows a software "[[hypervisor]]" to run multiple operating system instances on the processor concurrently.
*Cache enhancements: Montecito added a split L2 cache, which included a dedicated 1&nbsp;MB L2 cache for instructions. The original 256&nbsp;KB L2 cache was converted to a dedicated data cache. Montecito also included up to 12&nbsp;MB of on-die L3 cache.


== Hardware support ==
== Hardware support ==

Revision as of 17:21, 11 November 2013

Template:Distinguish2

Itanium family
Itanium 2 processor
General information
Launchedmid-2001
Discontinuedpresent
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate733 MHz to 2.53 GHz
FSB speeds300 MHz to 667 MHz
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1, 2, 4 or 8

Itanium (

enterprise servers and high-performance computing systems. The architecture originated at Hewlett-Packard
(HP), and was later jointly developed by HP and Intel.

The Itanium architecture is based on explicit

superscalar architectures, which depend on the processor to manage instruction dependencies at runtime. In all Itanium models, up to and including Tukwila, cores execute up to six instructions per clock cycle. The first Itanium processor, codenamed
Merced, was released in 2001.

Itanium-based systems have been produced by HP (the

The most recent processor, Poulson was released on November 8, 2012.

Market reception

High-end server market

When first released in 2001, Itanium's performance, compared to better-established

CISC processors, was disappointing.[2][3] Emulation to run existing x86 applications and operating systems was particularly poor, with one benchmark in 2001 reporting that it was equivalent at best to a 100 MHz Pentium in this mode (1.1 GHz Pentiums were on the market at that time).[4]
Itanium failed to make significant inroads against IA-32 or RISC, and then suffered from the successful introduction of x86-64 based systems into the high-end server market, systems which were more compatible with the older x86 applications. Journalist John C. Dvorak, commenting in 2009 on the history of the Itanium processor, said "This continues to be one of the great fiascos of the last 50 years" in an article titled "How the Itanium Killed the Computer Industry".[5] Tech columnist Ashlee Vance commented that the delays and underperformance "turned the product into a joke in the chip industry."[6] In an interview, Donald Knuth said "The Itanium approach...was supposed to be so terrific—until it turned out that the wished-for compilers were basically impossible to write."[7]

Both Red Hat and Microsoft announced plans to drop Itanium support in their operating systems due to lack of market interest;[8][9] however, other Linux distributions such as Debian remain available for Itanium. On March 22, 2011, Oracle announced discontinuation of development on Itanium, although its technical support for its existing products would continue.[10] On October, 20 2013, Oracle has officially written about its commitment to release Oracle Database 12.1.0.1.0 on HP-UX Itanium 11.31 by early 2014.[11]

A former Intel official reported that the Itanium business had become profitable for Intel in late 2009.[12] By 2009, the chip was almost entirely deployed on servers made by HP, which had over 95% of the Itanium server market share,[6] making the main operating system for Itanium HP-UX. On March 22, 2011 Intel reaffirmed its commitment to Itanium with multiple generations of chips in development and on schedule.[13]

Other markets

Although Itanium did attain limited success in the niche market of high-end computing, Intel had originally hoped it would find broader acceptance as a replacement for the original x86 architecture.[14]

AMD chose a different direction, designing the less radical x86-64, a 64-bit extension to the existing x86 architecture, which Microsoft then supported, forcing Intel to introduce the same extensions in its own x86-based processors.[15] These designs can run existing 32-bit applications at native hardware speed, while offering support for 64-bit memory addressing and other enhancements to new applications.[6] This architecture has now become the predominant 64-bit architecture in the desktop and portable market. Although some Itanium-based workstations were initially introduced by companies such as SGI, they are no longer available.

History

Itanium Server Sales forecast history.[16][17]
A key chain from Compaq questioning the value of Itanium (the other side says, "CALIFORNIA - Y W8 4 HP - The Migration State") before Compaq adapting the Itanium for its servers.

Development: 1989–2000

In 1989, HP determined that

instructions in each clock cycle. EPIC implements a form of very long instruction word (VLIW) architecture, in which a single instruction word contains multiple instructions. With EPIC, the compiler determines in advance which instructions can be executed at the same time, so the microprocessor simply executes the instructions and does not need elaborate mechanisms to determine which instructions to execute in parallel.[18]
The goal of this approach is twofold: to enable deeper inspection of the code at compile time to identify additional opportunities for parallel execution, and to simplify processor design and reduce energy consumption by eliminating the need for runtime scheduling circuitry.

HP believed that it was no longer cost-effective for individual enterprise systems companies such as itself to develop proprietary microprocessors, so it partnered with Intel in 1994 to develop the IA-64 architecture, derived from EPIC. Intel was willing to undertake a very large development effort on IA-64 in the expectation that the resulting microprocessor would be used by the majority of enterprise systems manufacturers. HP and Intel initiated a large joint development effort with a goal of delivering the first product, Merced, in 1998.[18]

During development, Intel, HP, and industry analysts predicted that IA-64 would dominate in servers, workstations, and high-end desktops, and eventually supplant RISC and

complex instruction set computing (CISC) architectures for all general-purpose applications.[2][3]
Compaq and Silicon Graphics decided to abandon further development of the Alpha and MIPS architectures respectively in favor of migrating to IA-64.[19]

Several groups developed operating systems for the architecture, including

Solaris,[20][21][22]
Tru64 UNIX,[19] and Monterey/64[23] (the last three were canceled before reaching the market). By 1997, it was apparent that the IA-64 architecture and the compiler were much more difficult to implement than originally thought, and the delivery of Merced began slipping.[24] Technical difficulties included the very high transistor counts needed to support the wide instruction words and the large caches.[citation needed] There were also structural problems within the project, as the two parts of the joint team used different methodologies and had slightly different priorities.[citation needed] Since Merced was the first EPIC processor, the development effort encountered more unanticipated problems than the team was accustomed to. In addition, the EPIC concept depends on compiler capabilities that had never been implemented before, so more research was needed.[citation needed]

Intel announced the official name of the processor, Itanium, on October 4, 1999.[25] Within hours, the name Itanic had been coined on a

Titanic, the "unsinkable" ocean liner that sank in 1912.[26]
"Itanic" has since often been used by The Register,[27] and others,[28][29][30] to imply that the multibillion dollar investment in Itanium—and the early hype associated with it—would be followed by its relatively quick demise.

Itanium (Merced): 2001

Itanium (Merced)
Itanium processor
General information
LaunchedJune 2001
DiscontinuedJune 2002
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate733 MHz to 800 MHz
FSB speeds266 MT/s
Cache
L2 cache96 KB
L3 cache2 or 4 MB
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1
Socket(s)
  • PAC418
Products, models, variants
Core name(s)
  • Merced

By the time Itanium was released in June 2001, its performance was not superior to competing RISC and CISC processors.[31] Itanium competed at the low-end (primarily 4-CPU and smaller systems) with servers based on

POWER architecture and Sun Microsystems' SPARC architecture. Intel repositioned Itanium to focus on high-end business and HPC computing, attempting to duplicate x86's successful "horizontal" market (i.e., single architecture, multiple systems vendors). The success of this initial processor version was limited to replacing PA-RISC in HP systems, Alpha in Compaq systems and MIPS in SGI systems, though IBM also delivered a supercomputer based on this processor.[32]
POWER and SPARC remained strong, while the 32-bit x86 architecture continued to grow into the enterprise space, building on economies of scale fueled by its enormous installed base.

Only a few thousand systems using the original Merced Itanium processor were sold, due to relatively poor performance, high cost and limited software availability.[33] Recognizing that the lack of software could be a serious problem for the future, Intel made thousands of these early systems available to independent software vendors (ISVs) to stimulate development. HP and Intel brought the next-generation Itanium 2 processor to market a year later.

Itanium processor family
2001 Itanium logo 2002 Itanium 2 logo 2006 Itanium 2 logo 2008 Itanium logo 2009 Itanium logo
June 1, 2001 – 2006 2002-2006/Version 2 2006-2010/Version 2 2006-2010 2009-2011

Itanium 2: 2002–2010

Itanium 2 (McKinley)
Itanium 2 processor
General information
Launched2002
Discontinued2010
Designed byIntel
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate900 MHz to 2.53 GHz
Cache
L2 cache256 KB on Itanium2
256 KB (D) + 1 MB(I) or 512 KB (I) on (Itanium2 9x00 series)
L3 cache1.5-32 MB
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1, 2, 4 or 8
Socket(s)
Products, models, variants
Core name(s)
  • McKinley
  • Madison
  • Hondo
  • Deerfield
  • Montecito
  • Montvale
  • Tukwila
  • Poulson
Itanium 2 in 2003

The Itanium 2 processor was released in 2002, and was marketed for enterprise servers rather than for the whole gamut of high-end computing. The first Itanium 2, code-named McKinley, was jointly developed by HP and Intel. It relieved many of the performance problems of the original Itanium processor, which were mostly caused by an inefficient memory subsystem. McKinley contained 221 million transistors (of which 25 million were for logic), measured 19.5 mm by 21.6 mm (421 mm2) and was fabricated in a 180 nm, bulk CMOS process with six layers of aluminium metallization.[34]

In 2003,

AMD released the Opteron, which implemented its own 64-bit architecture (x86-64). Opteron gained rapid acceptance in the enterprise server space because it provided an easy upgrade from x86. Intel responded by implementing x86-64 in its Xeon microprocessors in 2004.[19]

Intel released a new Itanium 2 family member, codenamed Madison, in 2003. Madison used a 130 nm process and was the basis of all new Itanium processors until Montecito was released in June 2006.

In March 2005, Intel announced that it was working on a new Itanium processor, codenamed

Common System Interface, which would also be used by a new Xeon processor.[35]
Later that year, Intel revised Tukwila's delivery date to late 2008.[36]

In November 2005, the major Itanium server manufacturers joined with Intel and a number of software vendors to form the Itanium Solutions Alliance to promote the architecture and accelerate software porting.[37] The Alliance announced that its members would invest $10 billion in Itanium solutions by the end of the decade.[38]

In 2006, Intel delivered Montecito (marketed as the Itanium 2 9000 series), a dual-core processor that roughly doubled performance and decreased energy consumption by about 20 percent.[39]

Intel released the Itanium 2 9100 series, codenamed Montvale, in November 2007.[40] In May 2009 the schedule for Tukwila, its follow-on, was revised again, with release to OEMs planned for the first quarter of 2010.[41]

Itanium 9300 (Tukwila): 2010

The Itanium 9300 series processor, codenamed Tukwila, was released on 8 February 2010 with greater performance and memory capacity.[42]

The device uses a 65 nm process, includes two to four cores, up to 24 

MB on-die caches, Hyper-Threading technology and integrated memory controllers. It implements double-device data correction, which helps to fix memory errors. Tukwila also implements Intel QuickPath Interconnect (QPI) to replace the Itanium bus-based architecture. It has a peak interprocessor bandwidth of 96 GB/s and a peak memory bandwidth of 34 GB/s. With QuickPath, the processor has integrated memory controllers and interfaces the memory directly, using QPI interfaces to directly connect to other processors and I/O hubs. QuickPath is also used on Intel processors using the Nehalem microarchitecture, making it probable that Tukwila and Nehalem will be able to use the same chipsets.[43]
Tukwila incorporates four memory controllers, each of which supports multiple DDR3 DIMMs via a separate memory controller,[44] much like the Nehalem-based Xeon processor code-named
Beckton.[45]

Itanium 9500 (Poulson): 2012

The Itanium 9500 series processor, codenamed Poulson, is the follow-on processor to Tukwila and was released on November 8, 2012.[46] According to Intel, it skips the

32 nm process technology; it features eight cores, has a 12-wide issue architecture, multithreading enhancements, and new instructions to take advantage of parallelism, especially in virtualization.[43][47][48]
The Poulson L3 cache size is 32 MB. L2 cache size is 6 MB, 512 I 
KB, 256 D KB per core.[49] Die size is 544 mm², less than its predecessor Tukwila (698.75 mm²).[50][51]

At ISSCC 2011, Intel presented a paper called, "A 32nm 3.1 Billion Transistor 12-Wide-Issue Itanium Processor for Mission Critical Servers."[49][52] Given Intel's history of disclosing details about Itanium microprocessors at ISSCC, this paper most likely refers to Poulson. Analyst David Kanter speculates that Poulson will use a new microarchitecture, with a more advanced form of multi-threading that uses as many as two threads, to improve performance for single threaded and multi-threaded workloads.[53] Some new information was released at

Hotchips conference.[54][55]
New information presents improvements in multithreading, resilency improvements (Instruction Replay RAS) and few new instructions (thread priority, integer instruction, cache prefetching, data access hints).

In Intel's Product Change Notification (PCN) 111456-01, it listed 4 models of Itanium 9500 series CPU, which was later removed in a revised document.[56] The parts were later listed in Intel's Material Declaration Data Sheets (MDDS) database.[57] Intel later posted Itanium 9500 reference manual.[58]

The models are:[56]

Processor number Frequency
9520 1.73 GHz
9540 2.13 GHz
9550 2.4 GHz
9560 2.53 GHz

Market share

In comparison with its Xeon family of server processors, Itanium has never been a high-volume product for Intel. Intel does not release production numbers. One industry analyst estimated that the production rate was 200,000 processors per year in 2007.[59]

According to

IDC reports that a total of 184,000 Itanium-based systems have been sold. For the combined POWER/SPARC/Itanium systems market, IDC reports that POWER captured 42% of revenue and SPARC captured 32%, while Itanium-based system revenue reached 26% in the second quarter of 2008.[60]
According to an IDC analyst, in 2007 HP accounted for perhaps 80% of Itanium systems revenue.[61] According to Gartner, in 2008 HP accounted for 95% of Itanium sales.[6] HP's Itanium system sales were at an annual rate of $4.4Bn at the end of 2008, and declined to $3.5Bn by the end of 2009,[62] compared to a 35% decline in UNIX system revenue for Sun and an 11% drop for IBM, with an x86-64 server revenue increase of 14% during this period.

In Dec 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annually shipment of 26,000 systems (a decline of over 50% compare to shipments in 2008).[63]

Hardware support

Systems

Server manufacturers' Itanium products
Company Latest product
name from to name CPUs
Compaq 2001 2001 ProLiant 590 1–4
IBM 2001 2005 x455 1–16
Dell 2001 2005
PowerEdge
7250
1–4
Hitachi
2001 2008 BladeSymphony
1000
1–8
Unisys 2002 2009 ES7000/one 1–32
SGI 2001 2011 Altix 4000 1–2048
Fujitsu 2005 2011 PRIMEQUEST 1–32
HP 2001 now
Integrity
1–256
Bull 2002 now NovaScale 9410 1–32
NEC 2002 now nx7700i 1–256
Inspur 2010 now TS10000 2-1024
Huawei 2012 now ???? ????

As of 2012 only a few manufacturers offer Itanium systems, including

system integrators to build Itanium systems.[64]
HP, the only one of the industry's top four server manufacturers to offer Itanium-based systems today, manufactures at least 80% of all Itanium systems. HP sold 7200 systems in the first quarter of 2006.[65] The bulk of systems sold are
enterprise servers and machines for large-scale technical computing, with an average selling price per system in excess of US$
200,000. A typical system uses eight or more Itanium processors.

Chipsets

The Itanium bus interfaces to the rest of the system via a chipset. Enterprise server manufacturers differentiate their systems by designing and developing chipsets that interface the processor to memory, interconnections, and peripheral controllers. The chipset is the heart of the system-level architecture for each system design. Development of a chipset costs tens of millions of dollars and represents a major commitment to the use of the Itanium. IBM created a chipset in 2003, and Intel in 2002, but neither of them has developed chipsets to support newer technologies such as DDR2 or PCI Express.[66] Currently, modern chipsets for Itanium supporting such technologies are manufactured by HP, Fujitsu, SGI, NEC, and Hitachi.

The "Tukwila" Itanium processor model had been designed to share a common chipset with the Intel Xeon processor EX (Intel’s Xeon processor designed for four processor and larger servers). The goal is to streamline system development and reduce costs for server OEMs, many of whom develop both Itanium- and Xeon-based servers. However in 2013 this goal was pushed back to "evaluated for future implementation opportunities".[67]

Software support

Itanium is supported by the following operating systems:

Itanium was also supported by these operating systems:

Microsoft announced that Windows Server 2008 R2 would be the last version of Windows Server to support the Itanium, and that it would also discontinue development of the Itanium versions of Visual Studio and SQL Server.[8] Likewise, Red Hat Enterprise Linux 5 (first released in March 2007) was the last Itanium edition of Red Hat Enterprise Linux[9] and

Ubuntu 10.04 LTS (released in April 2010) was the last supported Ubuntu release on Itanium.[70]
HP will not be supporting or certifying Linux on Itanium 9300 (Tukwila) servers.[71]

In late September 2012, NEC announced a return from IA64 to the previous NOAH line of proprietary mainframe processors, now produced in a quad-core variant on 40nm, called NOAH-6.[72]

Oracle Corporation announced in March 2011 that it would drop development of application software for Itanium platforms, with the explanation that "Intel management made it clear that their strategic focus is on their x86 microprocessor and that Itanium was nearing the end of its life."[10] However, a California state judge ruled that Oracle will have to continue supporting and releasing new versions of its software designed for Intel Itanium-based servers sold by Hewlett-Packard, after a settlement and release agreement between HP, Oracle and Mark Hurd had revealed that Oracle must continue to offer its product suite on HP's Itanium-based server platforms and does not confer on Oracle the discretion to decide whether to do so or not. Oracle's obligation to continue to offer its products on HP's Itanium-based server platforms lasts until such time as HP discontinues the sales of its Itanium-based servers. Oracle was ordered to port its products to HP's Itanium-based servers without charge to HP.[73]

HP sells a

Integrity Virtual Machines
.

To allow more software to run on the Itanium, Intel supported the development of compilers optimized for the platform, especially its own suite of compilers.[74][75] Starting in November 2010, with the introduction of new product suites, the Intel Itanium Compilers were no longer bundled with the Intel x86 compilers in a single product. Intel offers Itanium tools and Intel x86 tools, including compilers, independently in different product bundles. GCC,[76][77]

Microsoft Visual Studio 2005 (and later)[78]
are also able to produce machine code for Itanium. According to the Itanium Solutions Alliance over 13,000 applications were available for Itanium based systems in early 2008,[79] though Sun has contested Itanium application counts in the past.[80] The ISA also supported Gelato, an Itanium HPC user group and developer community that ported and supported open source software for Itanium.[81]

Emulation

Instruction Set Simulators
.

Competition

Itanium is aimed at the

AMD's Opteron line. As of 2009, most servers were being shipped with x86-64 processors.[62]

In 2005, Itanium systems accounted for about 14% of HPC systems revenue, but the percentage has declined as the industry shifts to x86-64 clusters for this application.[82]

An October 2008 paper by Gartner on the Tukwila processor stated that "...the future roadmap for Itanium looks as strong as that of any RISC peer like Power or SPARC."[83]

Supercomputers and high-performance computing

Area chart showing the representation of different families of microprocessors in the TOP500 ranking list of supercomputer, from 1992 to 2009.

An Itanium-based computer first appeared on the list of the

supercomputers in November 2001.[32] The best position ever achieved by an Itanium 2 based system in the list was #2, achieved in June 2004, when Thunder (LLNL) entered the list with an Rmax of 19.94 Teraflops. In November 2004, Columbia entered the list at #2 with 51.8 Teraflops, and there was at least one Itanium-based computer in the top 10 from then until June 2007. The peak number of Itanium-based machines on the list occurred in the November 2004 list, at 84 systems (16.8%); by June 2012, this had dropped to one system (0.2%),[84]
and no Itanium system remained on the list in November 2012.

Processors

Released processors

The Itanium processors show a progression in capability. Merced was a proof of concept. McKinley dramatically improved the memory hierarchy and allowed Itanium to become reasonably competitive. Madison, with the shift to a 130 nm process, allowed for enough cache space to overcome the major performance bottlenecks. Montecito, with a 90 nm process, allowed for a dual-core implementation and a major improvement in performance per watt. Montvale added three new features: core-level lockstep, demand-based switching and front-side bus frequency of up to 667 MHz.

Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus
dies/
device
cores/
die
watts/
device
Comments
Itanium
Merced
180 nm
2001-06 733 MHz 96 KB none 266 MHz 1 1 116 2 MB off-die L3 cache
800 MHz 130 4 MB off-die L3 cache
Itanium 2
McKinley
180 nm
2002-07-08 900 MHz 256 KB 1.5 MB 400 MHz 1 1 130 HW branchlong
1 GHz 3 MB 130
Madison
130 nm
2003-06-30 1.3 GHz 3 MB 130
1.4 GHz 4 MB 130
1.5 GHz 6 MB 130
2003-09-08 1.4 GHz 1.5 MB 130
2004-04 1.4 GHz 3 MB 130
1.6 GHz
Deerfield 2003-09-08 1.0 GHz 1.5 MB 62 Low voltage
Hondo[85] 2004-Q1 1.1 GHz 4 MB 400 MHz 2 1 260 32 MB L4
Fanwood 2004-11-08 1.6 GHz 3 MB 533 MHz 1 1 130
1.3 GHz 400 MHz 62? Low voltage
Madison 2004-11-08 1.6 GHz 9 MB 400 MHz 130
2005-07-05 1.67 GHz 6 MB 667 MHz 130
2005-07-18 1.67 GHz 9 MB 667 MHz 130
Itanium 2 9000 series
Montecito
90 nm
2006-07-18 1.4 GHz 256 KB (D)+
1 MB (I)
6–24 MB 400 MHz 1 2 104 Virtualization, Multithread, no HW IA-32
1.6 GHz 533 MHz
Itanium 2 9100 series
Montvale
90 nm
2007-10-31 1.42–1.66 GHz 256 KB (D)+
1 MB (I)
8–24 MB 400–667 MHz 1 1–2 75–104 Core-level lockstep, demand-based switching
Itanium 9300 series
Tukwila
65 nm
2010-02-08 1.33-1.73 GHz 256 KB (D)+
512 KB (I)
10–24 MB QPI with a speed of 4.8
GT
/s
1 2–4 130–185 A new point-to-point processor interconnect, the
Turbo Boost
Itanium 9500 series
Poulson
32 nm
2012-11-08[86] 1.73-2.53 GHz 256 KB (D)+
512 KB (I)
20-32 MB QPI with a speed of 6.4
GT
/s
1 4-8 130–170 Doubled issue width (from 6 to 12 instructions per cycle), Instruction Replay technology, Dual-domain hyperthreading[87][88][89]

Future processors

During the HP vs. Oracle support lawsuit, court documents unsealed by Santa Clara County Court judge revealed in 2008, Hewlett-Packard had paid Intel Corp. around $440 million to keep producing and updating Itanium microprocessors from 2009 to 2014. In 2010, the two companies signed another $250 million deal, which obliged Intel to continue making Itanium central processing units for HP's machines until 2017. Under the terms of the agreements, HP has to pay for chips it gets from Intel, while Intel launches Tukwila, Poulson, Kittson and Kittson+ chips in a bid to gradually boost performance of the platform.[90][91]

Kittson

Kittson will follow Poulson in 2014. Kittson, like Poulson, will be manufactured using Intel's 32 nm process. Few other details are known beyond the existence of the codename and the binary and socket compatibility with Poulson and Tukwila, though moving to a common socket with x86 Xeon "will be evaluated for future implementation opportunities" after Kittson.[43][92]

Timeline

  • 1989:
    • HP begins investigating EPIC.[18]
  • 1994:
    • June: HP and Intel announce partnership.[93]
  • 1995:
    • September: HP, Novell, and SCO announce plans for a "high volume UNIX operating system" to deliver "64-bit networked computing on the HP/Intel architecture".[94]
  • 1996:
  • 1997:
    • June:
      IDC predicts IA-64 systems sales will reach $38bn/yr by 2001.[16]
    • October: Dell announces it will use IA-64.[96]
    • December: Intel and Sun announce joint effort to port Solaris to IA-64.[20][21][22]
  • 1998:
    • March: SCO admits HP/SCO Unix alliance is now dead.
    • June: IDC predicts IA-64 systems sales will reach $30bn/yr by 2001.[16]
    • June: Intel announces Merced will be delayed, from second half of 1999 to first half of 2000.[97]
    • September: IBM announces it will build Merced-based machines.[98]
    • October:
      UNIX
      for IA-64.
  • 1999:
  • 2000:
    • February:
      Project Trillian
      delivers source code.
    • June: IDC predicts Itanium systems sales will reach $25bn/yr by 2003.[16]
    • July: Sun and Intel drop Solaris-on-Itanium plans.[99]
    • August: AMD releases specification for x86-64, a set of 64-bit extensions to Intel's own x86 architecture intended to compete with IA-64. It will eventually market this under the name "AMD64".
  • 2001:
    • June: IDC predicts Itanium systems sales will reach $15bn/yr by 2004.[16]
    • June: Project Monterey dies.
    • July: Itanium is released.
    • October: IDC predicts Itanium systems sales will reach $12bn/yr by the end of 2004.[16]
    • November: IBM's 320-processor Titan NOW Cluster at National Center for Supercomputing Applications is listed on the TOP500 list at position #34.[32]
    • November: Compaq delays Itanium Product release due to problems with processor.[100]
    • December: Gelato is formed.
  • 2002:
    • March: IDC predicts Itanium systems sales will reach $5bn/yr by end 2004.[16]
    • June: Itanium 2 is released.
  • 2003:
    • April: IDC predicts Itanium systems sales will reach $9bn/yr by end 2007.[16]
    • April: AMD releases Opteron, the first processor with x86-64 extensions.
    • June: Intel releases the "Madison" Itanium 2.
  • 2004:
    • February: Intel announces it has been working on its own x86-64 implementation (which it will eventually market under the name "Intel 64").
    • June: Intel releases its first processor with x86-64 extensions, a Xeon processor codenamed "Nocona".
    • June: Thunder, a system at LLNL with 4096 Itanium 2 processors, is listed on the TOP500 list at position #2.[101]
    • November: Columbia, an SGI Altix 3700 with 10160 Itanium 2 processors at NASA Ames Research Center, is listed on the TOP500 list at position #2.[102]
    • December: Itanium system sales for 2004 reach $1.4bn.
  • 2005:
    • January: HP ports OpenVMS to Itanium[103]
    • February: IBM server design drops Itanium support.[66][104]
    • June: An Itanium 2 sets a record
      Computing blade.[105]
    • September: Itanium Solutions Alliance is formed.[106]
    • September: Dell exits the Itanium business.[107]
    • October: Itanium server sales reach $619M/quarter in the third quarter.
    • October: Intel announces one-year delays for Montecito, Montvale, and Tukwila.ref name="zdnet_2005_slip"/>
  • 2006:
    • January: Itanium Solutions Alliance announces a $10bn collective investment in Itanium by 2010.
    • February: IDC predicts Itanium systems sales will reach $6.6bn/yr by 2009.[17]
    • June: Intel releases the dual-core "Montecito" Itanium 2 9000 series.[108]
  • 2007:
    • April: CentOS (RHEL-clone) places Itanium support on hold for the 5.0 release.[109]
    • October: Intel releases the "Montvale" Itanium 2 9100 series.
    • November: Intel renames the family from Itanium 2 back to Itanium.
  • 2009:
    • December: Red Hat announces that it is dropping support for Itanium in the next release of its enterprise OS, Red Hat Enterprise Linux 6.[110]
  • 2010:
    • February: Intel announces the "Tukwila" Itanium 9300 series.[42]
    • April: Microsoft announces phase-out of support for Itanium.[111]
    • October: Intel announces new releases of Intel C++ Compiler and Intel Fortran Compiler for x86/x64, while Itanium support is only available in older versions.[112]
  • 2011:
  • 2012:
    • February: Court papers were released from a case between HP and Oracle Corporation that gave insight to the fact that HP was paying Intel $690 million to keep Itanium on life support.[116]
    • SAP discontinues support for Business Objects on Itanium. SAPPAM.[117]
    • September: In response to a court ruling, Oracle reinstitutes support for Oracle software on Itanium hardware.[118]
  • 2013:

See also

  • List of Intel Itanium microprocessors

References

  1. ^ Morgan, Timothy (2008-05-27). "The Server Biz Enjoys the X64 Upgrade Cycle in Q1". IT Jungle. Retrieved 2008-10-29.
  2. ^ a b De Gelas, Johan (2005-11-09). "Itanium–Is there light at the end of the tunnel?". AnandTech. Retrieved 2007-03-23.
  3. ^ a b Takahashi, Dean (2009-05-08). "Exit interview: Retiring Intel chairman Craig Barrett on the industry's unfinished business". VentureBeat. Retrieved 2009-05-17.
  4. ^ "Benchmarks – Itanic 32bit emulation is 'unusable'. No kidding — slower than a P100". 2001-01-23.
  5. PC Mag
    . Retrieved 2012-04-15.
  6. ^
    New York Times
    . Retrieved 2010-04-01.
  7. ^ Knuth, Donald E. (2008-04-25). "Interview with Donald Knuth". InformIT. Retrieved 2010-04-01.
  8. ^ a b Reger, Dan (2010). "Windows Server 2008 R2 to Phase Out Itanium". Retrieved 2010-04-03. {{cite web}}: Unknown parameter |month= ignored (help)
  9. ^ a b Timothy Prickett Morgan (2009-12-18). "Red Hat pulls plug on Itanium with RHEL 6". The Register. Retrieved 2009-12-18.
  10. ^ a b c "Oracle stops developing software for Intel's Itanium Chips". Pcworld.com. 2011-03-22. Retrieved 2011-04-12.
  11. ^ Oracle Database 12.1.0.1.0 is planned for certification on HP-UX Itanium 11.31 Oracle 2013-11-20
  12. ^ Demerjian, Charlie (2009-05-21). "A Decade Later, Intel's Itanium Chip Makes a Profit". The Inquirer. Retrieved 2010-04-07.
  13. ^ Darling, Patrick. "Intel Reaffirms Commitment to Itanium". Itanium. Intel. Retrieved 2011-03-23.
  14. Techworld
    . Retrieved 2010-12-19. Once touted by Intel as a replacement for the x86 product line, expectations for Itanium have been throttled well back.
  15. ^ Charlie Demerjian (2003-09-26). "Why Intel's Prescott will use AMD64 extensions". The Inquirer. Retrieved 2009-10-07.
  16. ^ a b c d e f g h i "Mining Itanium". CNet News. 2005-12-07. Archived from the original on 2013-01-02. Retrieved 2007-03-19.
  17. ^
    CNet News. Archived from the original
    on 2012-12-06. Retrieved 2007-03-20.
  18. ^ a b c "Inventing Itanium: How HP Labs Helped Create the Next-Generation Chip Architecture". HP Labs. 2001. Retrieved 2007-03-23. {{cite web}}: Unknown parameter |month= ignored (help)
  19. ^ a b c "Itanium: A cautionary tale". Tech News on ZDNet. 2005-12-07. Archived from the original on 2008-02-09. Retrieved 2007-11-01.
  20. ^ a b Vijayan, Jaikumar (1999-07-16). "ComputerWorld: Solaris for IA-64 coming this fall". Linuxtoday. Retrieved 2008-10-16.
  21. ^ a b Wolfe, Alexander (1999-09-02). "Core-logic efforts under way for Merced". EE Times. Retrieved 2008-10-16.[dead link]
  22. ^ a b "Sun Introduces Solaris Developer Kit for Intel to Speed Development of Applications On Solaris; Award-winning Sun Tools Help ISVs Easily Develop for Solaris on Intel Today". Business Wire. 1998-03-10. Retrieved 2008-10-16.
  23. ^ "Next-generation chip passes key milestone". CNET News.com. 1999-09-17. Retrieved 2007-11-01.
  24. ^ Shankland, Stephen (1999-07-08). "Intel's Merced chip may slip further". CNET News. Retrieved 2008-10-16.
  25. ^ Kanellos, Michael (1999-10-04). "Intel names Merced chip Itanium". CNET News.com. Archived from the original on 2013-01-02. Retrieved 2007-04-30.
  26. USENET
    group comp.sys.mac.advocacy. Retrieved 2007-03-24.
  27. ^ a b Pete Sherriff (1999-10-28). "AMD vs Intel – our readers write". The Register. Retrieved 2010-04-06.
  28. ZDNet
    Tech Update. Retrieved 2007-03-19.
  29. ^ Demerjian, Charlie (2006-07-18). "Itanic shell game continues". The Inquirer. Retrieved 2007-03-19. [dead link]
  30. New York Times
    . Retrieved 2010-10-19.
  31. ^ Linley Gwennap (2001-06-04). "Itanium era dawns". EE Times. Retrieved 2009-02-17.
  32. ^ a b c "Titan Cluster Itanium 800 MHz". TOP500 web site. Retrieved 2007-05-16.
  33. ^ Michael Kanellos (2001-12-11). "Itanium sales off to a slow start". CNET News.com. Retrieved 2008-07-20.
  34. ^ Naffzinger, Samuel D. et al. (2002). "The implementation of the Itanium 2 microprocessor". IEEE Journal of Solid-State Circuits, vol. 37, no. 11, pp. 1448–1460.
  35. ^ Merritt, Rick (2005-03-02). "Intel preps HyperTransport competitor for Xeon, Itanium CPUs". EE Times. Retrieved 2008-10-16.
  36. ZDNet News. Archived from the original
    on 2008-02-09. Retrieved 2007-03-17.
  37. ^ "Itanium Solutions Alliance". ISA web site. Retrieved 2007-05-16.
  38. ^ Scott, Bilepo (2006-01-26). "Computing Leaders Announce Strategy for New Era of Mission Critical Computing". Itanium Solutions Alliance Press Release. Retrieved 2008-10-16.
  39. ComputerWorld
    . Retrieved 2008-10-16.
  40. ^ Gonsalves, Antone (2007-11-01). "Intel Unveils Seven Itanium Processors". InformationWeek. Retrieved 2007-11-06.
  41. ^ Demerjian, Charlie (2009-05-21). "Tukwila delayed until 2010". The Inquirer. Retrieved 2009-05-21.
  42. ^ a b New Intel Itanium Offers Greater Performance, Memory Capacity, By: Jeffrey Burt, 2010-02-08, eWeek
  43. ^
    ZDNet
    Asia. Retrieved 2007-06-15.
  44. ^ Stokes, Jon (2009-02-05). "Intel delays quad Itanium to boost platform memory capacity". ars technica. Retrieved 2009-02-05.
  45. ^ Ng, Jansen (10 February 2009). "Intel Aims for Efficiency With New Server Roadmap". DailyTech. Retrieved 2009-02-10.
  46. ^ New Intel Itanium Offers Greater Performance, Memory Capacity: Itanium 9300 Series Brings New Features (page 2) eweek.com, 2010-02-08
  47. ^ "Poulson: The Future of Itanium Servers". realworldtech.com. 2011-05-18. Retrieved 2011-05-24.
  48. ^ "Hot Chips Poulson Disclosure Factsheet" (PDF). Intel press release. 2011-08-19. Retrieved 2011-08-19.
  49. ^ a b "A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers" (PDF). 2011-02-24. Retrieved 2012-01-23.
  50. ^ "Researchers carve CPU into plastic foil". Eetimes.com. Retrieved 2010-12-19.
  51. ^ "www.engadget.com". www.engadget.com. Retrieved 2012-04-30.
  52. ^ "ISSCC 2011" (PDF). Retrieved 2011-11-20.
  53. ^ Kanter, David (2010-11-17). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Real World Tech. Retrieved 2010-11-17.
  54. ^ "Itanium Poulson Update - Greater Parallelism, New Instruction Replay & More: Catch the details from Hotchips!". 2011-08-19. Retrieved 2012-01-23.
  55. ^ "Intel Itanium Hotchips 2011 Overview". Retrieved 2012-01-23.
  56. ^ a b Unreleased Intel Itanium 9500-series CPUs spotted
  57. ^ Spotted 9500-series CPUs confirmed to be "Poulson" Itaniums
  58. ^ Intel publishes Itanium 9500 reference manual
  59. ^ Patrizio, Andy (2007-10-12). "Intel Plows Forward With Itanium". InternetNews.com. Retrieved 2007-10-18.
  60. IDC
    World Wide Server Tracker, Q2'08
  61. ^ Niccolai, James (2008-05-20). "'Tukwila' Itanium servers due early next year, Intel says". Computerworld. Retrieved 2008-05-21.
  62. ^ a b Morgan, Timothy Prickett (2010-02-24). "Gartner report card gives high marks to x64, blades". TheRegister.com. Retrieved 2010-02-25.
  63. ^ Intel shifts gears on Itanium, raising questions about the server chip's future
  64. ^ "Intel Server System SR9000MK4U Technical Product Specification". Intel web site. 2007. Retrieved 2007-04-14. {{cite web}}: Unknown parameter |month= ignored (help)
  65. ^ Vance, Ashlee (2006-06-01). "HP grabs 90% of 'industry standard' Itanic market". The Register. Retrieved 2007-01-28.
  66. ^
    ZDNet
    .co.uk. Retrieved 2007-03-24.
  67. ^ "Intel backtracks on 'Kittson' Itanium plans, including shift to 22 nanometers."
  68. ^ "FreeBSD/ia64 Project". www.freebsd.org. Retrieved 2007-12-01.
  69. ^ PRODUCT BRIEF Intel® Itanium® Processor 9500 Series
  70. The H
    . 2010-08-20. Retrieved 2010-08-23.
  71. ^ Hewlett-Packard. "Linux on HP Integrity servers based on the Intel Itanium Processor 9100 series". Retrieved 2010-08-23.
  72. ^ ACOS-4 news
  73. ^ Anton Shilov. "Court Orders Oracle to Continue Release and Support Software for Intel Itanium-Based Servers". Retrieved 2012-08-01.
  74. CMP Media Game Group). Archived from the original
    on 2005-08-19. Retrieved 2007-06-05.
  75. ^ "Intel Compilers". Intel web site. Retrieved 2007-05-16.
  76. ^ "Gelato GCC Wiki". Gelato Federation web site. Retrieved 2007-05-16.
  77. ^ "Documentation at GNU.org". GNU Project web site. Retrieved 2007-05-16.
  78. ^ "Visual C++ Editions". Microsoft. Retrieved 2008-01-05.
  79. ^ Gonsalves, Aantone (2008-05-19). "Computers with Next-Gen Itanium Expected Early Next Year". InformationWeek. Retrieved 2008-10-17.
  80. ^ "Sun Microsystems-Reality Check" (PDF). Sun Microsystems white paper. 2007-01-12. Retrieved 2008-10-16.
  81. ^ "Gelato Developing for Linux on Itanium". Gelato Federation web site. Retrieved 2007-05-16.
  82. ^ Novakovic, Nebojsa (2008-09-25). "Supercomputing now dominated by X86 architecture". The Inquirer. Retrieved 2008-09-27.
  83. ^ Butler, Andrew (2008-10-03). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Retrieved 2008-10-21.
  84. ^ "Processor Generation / Itanium 2 Montecito". TOP500 web site. Retrieved 2012-06-19.
  85. ^ HP rides Hondo to super-sized Itanium servers The Register, 6th May 2004
  86. ^ "New Intel® Itanium® Processor 9500 Delivers Breakthrough Capabilities for Mission-Critical Computing:". Intel. Retrieved 9 November 2012.
  87. ^ Shilov, Anton. "Intel Launches Eight-Core Itanium 9500 "Poulson" Mission-Critical Server Processor - X-bit labs:". X-bit Labs. Retrieved 9 November 2012.
  88. ^ Kanter, David. "Poulson: The Future of Itanium Servers". Real World Tech. Retrieved 9 November 2012.
  89. ^ Undy, Steve. "WHITE PAPER Intel® Itanium® Processor 9500 Series" (PDF). Intel. Retrieved 9 November 2012.
  90. ^ HP Paid Intel $690 Million to Keep Itanium Alive - Court Findings.
  91. ^ HP Paid Intel $690 Million To Keep Itanium On Life Support
  92. ^ Intel. "Intel Itanium Processor Update". 2013-01-31.
  93. ^ Markoff, John (1994-06-09). "COMPANY NEWS; Intel Forms Chip Pact With Hewlett-Packard". The New York Times. Retrieved 2007-04-26.
  94. ^ "HP, Novell and SCO To Deliver High-Volume UNIX OS With Advanced Network And Enterprise Services" (Press release). Hewlett-Packard Company; Novell; SCO. 1995-09-20. Retrieved 2007-04-25.
  95. ^ Crothers, Brooke (1996-10-23). "Compaq, Intel buddy up". CNET News.com. Retrieved 2007-11-13.
  96. ^ Veitch, Martin (1998-05-20). "Dell will aid Intel with IA-64". ZDNet.co.uk. Retrieved 2008-10-16.
  97. PCWeek Online. Archived from the original
    on 2001-02-19. Retrieved 2007-05-14.
  98. ^ "IBM Previews Technology Blueprint For Netfinity Server Line". IBM web site. 1998-09-09. Retrieved 2007-03-19.
  99. ^ Stephen Shankland (2000-07-21). "Sun, Intel part ways on Solaris plans". CNET News.com. Archived from the original on 2012-12-05. Retrieved 2007-04-25.
  100. ^ Kanellos, Michael (2001-11-14). "Itanium flunking Compaq server tests". News.com. Retrieved 2007-11-13.
  101. ^ "Thunder at TOP500". TOP500 web site. Retrieved 2007-05-16.
  102. ^ "Columbia at TOP500". TOP500 web site. Retrieved 2007-05-16.
  103. ^ Morgan, Timothy (2005-07-06). "HP Ramps Up OpenVMS on Integrity Servers". ITJungle.com. Retrieved 2007-03-29.
  104. ^ "IBM server design drops Itanium support". TechRepublic.com. 2005-02-25. Archived from the original on 2013-01-02. Retrieved 2007-03-19.
  105. ^ "Result submitted to SPEC on June 13, 2005 by Hitachi". SPEC web site. Retrieved 2007-05-16.
  106. ^ "Itanium Solutions Alliance Formed". Byte and Switch. 2005-09-26. Retrieved 2007-03-24.[dead link]
  107. ^ Shankland, Stephen (2005-09-15). "Dell shuttering Itanium server business". CNET News.com. Archived from the original on 2013-01-19. Retrieved 2007-03-19.
  108. ^ Preimesberger, Chris (2006-07-19). "Is 'Montecito' Intel's Second Chance for Itanium?". eWeek. Retrieved 2007-03-23.
  109. ^ "CentOS Product Specifications". Centos.org. Retrieved 2011-04-12.
  110. ^ Ricknäs, Mikael (2009-12-21). "Red Hat to Drop Itanium Support in Enterprise Linux 6". PC World. PCWorld Communications, Inc. Retrieved 2011-03-25.
  111. ^ Niccolai, James (2009-05-08). "Microsoft Ending Support for Itanium". PCWorld. Retrieved 2010-04-05. Windows Server 2008 R2 will be the last version of Windows Server to support the Intel Itanium architecture," [...] "SQL Server 2008 R2 and Visual Studio 2010 are also the last versions to support Itanium.
  112. ^ "Intel C++ Composer XE 2011 for Linux Installation Guide and Release Notes". Retrieved 2011-04-12.
  113. ^ "Intel Reaffirms Commitment to Itanium". Newsroom.intel.com. 2011-03-23. Retrieved 2011-04-12.
  114. ^ McLaughlin, Kevin (2011-03-28). "HP CEO Apotheker Slams Oracle For Quitting Itanium". Crn.com. Retrieved 2011-04-12.
  115. ^ Prickett, Timothy (2011-04-14). "Huawei to forge big red Itanium iron". Theregister.co.uk. Retrieved 2011-11-20.
  116. ^ McMillan, Robert (2012-02-01). "HP Paid Intel $690 Million To Keep Itanium On Life Support". wired.com. Retrieved 2012-02-03.
  117. ^ "SAP Product availability Matrix". SAPPAM web site. Retrieved 2012-06-06.
  118. ^ "Oracle Issues Statement" (Press release). Oracle Corporation. 2012-09-04. Retrieved 2013-03-08.
  119. ^ "Intel Itanium Processors Update". Intel Corporation. 2013-01-31. Retrieved 2013-02-12.
  120. ^ "HP NonStop server update". Intel Corporation. 2013-11-05-31. Retrieved 2013-11-05. {{cite web}}: Check date values in: |date= (help)

External links